Customers Customers Customers

As a roadmap announcement today, the focus isn’t so much on the customers but on the technology. Because Intel is moving into a phase where it expects its IFS offerings to compete against the established players, it has to consider its disclosures with respect to both its internal use and any external interest, which is a new concept for the company – at least on this scale compared to its previous foundry efforts.

Intel CEO Pat Gelsinger, in the company’s Q3 financial call last week, was keen to point out that they already have a large hyperscaler customer signed up for their next generation packaging technology, however today there would appear to also be another customer in the mix. Now we assume that Intel’s Foundry Services is talking to 100s of chip companies, big and small, but it doesn’t take much to sign an NDA to start to talk – what will be interesting is when customers start making commitments to using Intel’s facilities, and if any of those are volume orders.

As part of the announcement today, Intel held a little bit back from us, saying that they are saving some of the details specifically for the event that is going on as we publish this piece. All we know is that our draft press release has a big yellow bar that says ‘[customer news]’ on it, right next to Intel’s 20A process node details.

For reference, Intel 20A is a 2024 technology using first generation Gate-All-Around transistors, marketed as RibbonFETs, as well as backside power delivery, marketed as PowerVias. At this time Intel expects to have second/third-generation EMIB available as well as fourth-generation Foveros Direct. So if a customer is already committing to Intel 20A, there’s going to be a lot of potential here.

When the announcement is made, we will update this news article.

To conclude, Intel maintains that these roadmaps will showcase a clear path to process performance leadership* by 2025. It’s a tall order, and the company has to execute better than it has in recent memory - but that’s kind of why the company has rehired a number of former Intel experts and fellows in research, product design, and execution.

*as measured by performance per watt at iso-power

Here's a secondary comparison chart (compared to the one on page one) with all three main foundry offerings listed in each of the main segments that Intel has discussed today.

Intel’s Next Generation Packaging: EMIB and Foveros
Comments Locked

326 Comments

View All Comments

  • carewolf - Tuesday, July 27, 2021 - link

    Remember to square the numbers.. A node with half the metric should have four times the density.
  • Amandtec - Tuesday, July 27, 2021 - link

    Shut up carewolf. We were all having a great conversation and expressing our feelings and now you have gone and ruined the whole party with math ;-)
  • mode_13h - Wednesday, July 28, 2021 - link

    > A node with half the metric should have four times the density.

    Wasn't that TSMC's whole justification for using the 7 nm name? They doubled density over 14 nm, so they decided to halve the number?

    I clearly remember something like that, but it doesn't match up with the table 2/3rds down the first page.
  • Samus - Tuesday, July 27, 2021 - link

    You have to admit it's kind of bullshit when Intel has an aging (or mature, depending on how you think of it) 10nm process that has more transistor density than TSMC's 7nm.

    This has been going on a long time. Years ago when Intel launched 14++ (third gen 14nm) TSMC was full steam ahead on 10nm which was barely an improvement over Intel's 14.
  • mode_13h - Tuesday, July 27, 2021 - link

    Sure, it's BS. It always was. But Intel can just opt out and choose not to play that game by naming their manufacturing process something other than purported node size.
  • WaltC - Wednesday, July 28, 2021 - link

    Especially when you consider that it's only Intel apologists, and not Intel itself, who keep repeating the erroneous TSMC's 7nm = Intel's 10nm. If Intel could assert with credibility that (1) TSMC @ 7nm = Intel @ 10nm, you better believe they'd be doing that all day long...;) But they can't, so they don't. Intel needs products, not marketing claptrap. Over the last three years Intel's execution record of prior roadmaps has been abysmal.
  • Spunjji - Wednesday, July 28, 2021 - link

    Intel's 10nm doesn't actually have higher density in shipping products than TSMC's significantly more aged (or mature, depending on how you think of it) 7nm, though. It's a little lower, if anything, but the improvements from ESF should at least bring it into contention for PPW for the first time in a while.
  • Netmsm - Friday, July 30, 2021 - link

    agree :]
  • ericjs - Tuesday, October 19, 2021 - link

    Hey, remember this? https://www.tomshardware.com/news/intel-cpu-proces... "7 nm in 2017, and 5 nm in 2019" Uh huh.
  • Kamen Rider Blade - Monday, July 26, 2021 - link

    Why Angstrom?

    Why not Picometers?

    That should be the next logical prefix we use after the nm if you follow SI Length conventions.

Log in

Don't have an account? Sign up now