There are very few companies in the world able to claim a history in the graphics market dating back to the “golden age” of the 90’s. Among the handful of survivors of that era are of course NVIDIA and AMD (formerly ATI), but there is also Imagination Technologies. The company has been around since the early days of graphics accelerators and has seen a shifting industry. In the early to mid-2000’s the company moved away from the desktop space, instead focusing on offering GPU IP optimized for mobile devices.

The Mobile GPU IP Market Situation

The mobile GPU IP market these days is a quite barren landscape; Imagination’s long history along with Arm’s rise to a leadership position with the Mali GPUs has essentially created a duopoly, as smaller vendors such as Vivante have exited the market. The problem of duopolies like these is that there’s a risk of stagnation if either vendor falls behind in terms of technology, and most of the time this comes at the cost of the vendors who rely on licensing the IP.

Over the last several years in particular, we’ve seen a larger shift in the mobile market dynamics as Qualcomm has increasingly managed to dominate over its competitors. The more vertically integrated company produces its own SoC designs using its own in-house GPU IP, and as a result isn’t reliant on technologies from Imagination or Arm (CPUs are a whole other story).

More recently, we’ve seen Apple’s custom GPU efforts make huge leaps in performance and efficiency. While officially we have no concrete information on the behind-the-scenes details of Apple’s design, it’s commonly regarded as a design forked-off from Imagination IP back in 2015, which Apple continues to independently develop thanks to an architectural license. The relationship between Apple and Imagination nowadays is still quite unclear, but the frictions from back in 2017, which ultimately lead to Imagination putting itself to sale and being bought by an equity firm with Chinese investors, seem to have calmed down.

The more important situation to consider is that the two leading GPUs in the mobile market today – Apple and Qualcomm – are designs that are internally developed by the SoC vendors themselves. This is a bit troubling for everybody else in the market, as their reliance on IP licensing means they’re limited in what they’re able to do. MediaTek had suffered quite a lot in terms of market share versus Qualcomm for example (GPU being only one of many other reasons). Other vendors such as Samsung have evidently also realized their situation, and launched development of their own internal GPU architectures, with a more recent surprise development of actually licensing new GPU IP from AMD in order to compete with Apple’s designs.

Introducing Imagination's new A-Series: "Fastest GPU IP ever created"

With that quite long foreword and context in mind, we come full circle to Imagination as well as today’s announcement. Today, the company is revealing its new A-Series GPU IP, describing the new product as Imagination’s most important GPU and IP launch in 15 years. The wording here is extremely strong, and the goals of the new product seem pretty clear: reverse the trend of market share loss against custom GPUs in the market, and put licensed GPU IP back at the forefront of performance.

A-Series is the company’s 10th generation GPU architecture and represents a big leap for the company. Even something simple, like the product names, have changed. Gone is the longstanding PowerVR branding, in favor of a more immediate focus on Imagination the company. We'll still see PowerVR around – Imagination is keeping it to describe their technology, particularly their tile-based deferred rendering approach – but after over 20 years it's no longer a brand in and of itself.

As a note on the new GPU IP naming scheme: it’s a deliberate departure from the numerical numbering of the past, and instead is trying to represent a new clean start going forward. I asked, but unfortunately the name it isn’t meant to be a clever twist on a “Series 10” with the numbering now represented in hexadecimal, a pity I say!

The new naming crosses the company’s whole GPU range going from the low-end, mid-range to high-end. Here we see the continuation of the XE, XM and XT suffixes; however as in the past, there are architectural differences between the IPs, with today’s news mostly covering the new XT series.

As mentioned, the new A-Series promises to bring some exceptional leaps in performance compared to past generation designs, although it’s also important to point out some footnotes on the matter.

An important metric that’s been showcased today is Imagination's claim that the new A-series XT design is 2.5x faster. "Fast than what" you might ask? The figure represents the new architecture’s performance leap in an ISO-area and process node comparison against Imagination's previous generation Series 9 GPU.

The thing to note is that the company isn’t doing exact apples-to-apples comparisons between different generations of XT GPUs, but rather is making comparisons to the latest widely available “Series 9” on the market. This was an unfortunate, but yet practical choice to make given that currently there’s no publicly announced or available chips which make use of the company’s 8XT or 9XTP designs.

The comparison data here is likely based on MediaTek’s Helio P90 with the GM9446 – technically part of the 9-series, but also architecturally based on the older Rogue architecture. Imagination wanted to be more transparent in regards to its area and performance claims for the A-Series, and the comparison with the 9XM Rogue-based GPU provides a much more recent and readily available baseline against which vendors can independently verify and compare metrics to.

Key improvements of the A-Series include a massive overhaul over the GPU’s compute units and ALU architecture, promising a 4x increase over a 9XM Rogue GPU. AI is a first-class citizen in the A-Series and we’ll be seeing dedicated compute resources resulting into improvements of up to 8x in performance. Finally, the new architecture is said to bring a very large leap in terms of power efficiency, with the new design consuming 60% less power for a similar level of performance.

The new architecture covered today spans four disclosed configurations in the XT series, as well as one XM configuration. The XE series, while having seen improvements, isn’t based on the new architecture, but rather a continuation from the previous generation.

Imagination’s naming for the new A-Series is extremely straightforward in regards to understanding their positioning a performance. The AXT-64-2048 for example represents the highest end configuration of the architecture in the XT range, achieving 64 texels per clock and 2048 FP32 FLOPs per clock. AI TOP performance is quadruple the FLOPS, so in this case for an envisioned 1GHz design, the AXT-64-2048 reaches 2 TFLOPs in graphics and compute power, 8 TOPs in INT8 inference throughput, and 64 Gigatexel/s fill rate.

It should also be noted that it's more correct to say Gigatexels/s instead of Gigapixels/s as in the marketing materials published - Imagination found that a lot of people didn't correctly grasp the meaning of texture fillrate and thus opted to simplify the marketing information in pixels/s.

The figures scale down the range with corresponding performance with the AXT-48-1536, AXT-32-1024, AXT-16-512 and finally the AXM-8-256. The AXE-1-16 is a separate, smaller more customized microarchitecture.

Imagination envisions the AXT-32-1024 to be the traditional sweet-spot target for a premium mobile GPU SoC in smartphones, while the larger configurations would possibly be used in larger form-factor devices.

The company calls the A-Series “the GPU of everything”, and there’s indeed a ton of changes and features that make up the new IP. We’ll be covering a few aspects of the company’s disclosed big matrix of features above, starting off with one of the biggest changes, the GPU’s ALU architecture.

New ISA & ALUs: An Extremely Wide Architecture
Comments Locked

143 Comments

View All Comments

  • RaduR - Tuesday, December 3, 2019 - link

    Andrei Frumusanu was working for them . So maybe he has more info on how this is going to develop .

    The only downside for ImgTec is that they are depending on CPU vendors. So if they cannot sell this design to anyone ....

    They tried with MIPS but for whatever reason MIPS lost traction . Most probably they wer eunable to sell the design .

    Please understand that ImgTec is a very very small company that is fighting in fact with ARM . They are not Mediatek nor Qualcomm . In this market there is a lot of completion.

    We have : Vivante in the lowend. Broad iMM has Videocore , ARM sells MALI together with Cortex designs. So how can ImgTec survive ?

    I see the only option would have been MIPS + PowerVR or to be taken over by a company like Mediatek . I am still wondering why Intel did not buy them for the cheap or Mediatek .
  • Andrei Frumusanu - Tuesday, December 3, 2019 - link

    I left back in November 2017 and avoided coverage till now due to any conflict of interest. The A-Series is beyond the horizon of future knowledge I had from back then so it was new to me, I don't have any more info beyond my estimates that I wrote.

    Vivante is effectively dead and so is the Videocore lineup, the best case scenario here is a 50/50 split with Arm. The CPU I thing I don't think it's a limitation as long as the GPU in fact does deliver on competitive PPA.
  • ZolaIII - Tuesday, December 3, 2019 - link

    I wouldn't exactly say Unisoc adoption of it would have a small impact (tho they are still recovering from bad Intel's influence) nor would I write of possibility of HiSilicone adoption (more so as they are keen on ARM for US ban compliance & after all Chinese IPO owns Imagination now). Actually this is going so far right now that RISC V foundation is moving out of US to neutral grounds to ensure that same fate that struck the ARM cannot happen to them.
  • vladx - Wednesday, December 4, 2019 - link

    ARM is also planning to move remaining R&D centers from US to avoid any chance of US ban in the future.
  • vladx - Wednesday, December 4, 2019 - link

    Did anyone use Vivante designs in their SoCs in the past 3 years or so?
  • GruenSein - Tuesday, December 3, 2019 - link

    Uncertainties about ImgTech's claims and promises aside, I am wondering who is supposed to be the customer.
    Apple is developing their own GPUs now.
    Samsung is going with AMD's RDNA.
    Qualcomm has their Adreno GPU.
    HiSilicon is using ARM's Mali.
    I fear that this will be a very niche product unless it absolutely dominates all other solutions.
  • Raqia - Tuesday, December 3, 2019 - link

    If they remain independent, I think it'll be anyone who wants something better than Mali or Intel that don't have their own GPU or haven't partnered up, so with Intel also designing their own GPU cores I guess the main customer would be Mediatek, and a handful of other even smaller licensees like Broadcom for things like its Raspberry Pi SoC, NXP, STM etc.

    When compared to CPU designs which are becoming increasingly commoditized by ARM's freely licensed and very good SIP cores (with only nVidia and Apple doing their own custom cores in volume going forward), efficient GPU cores continue to be highly specialized and a well sought after technology. Imagination would also be a layup acquisition for any company besides Apple, AMD, or Qualcomm, so I could Intel, Samsung or ARM buying them in the future.
  • Raqia - Tuesday, December 3, 2019 - link

    Also Marvell and other TV / automakers.
  • name99 - Tuesday, December 3, 2019 - link

    Amazon made a big deal about GPU support and AI inference in their Graviton 2 announcement. They might be an unexpected client?
    (For that to work, however, IMG might have to be more flexible in terms of being willing to scale up/drop functionality to match AMZ's needs. They were apparently unwilling to be that flexible for Apple... But hey, near death experience can sometimes teach...)
  • mode_13h - Wednesday, December 4, 2019 - link

    I'm sure Amazon is just talking about Nvidia and possibly AMD. Nvidia is officially supporting their software stack on ARM, and AMD's is opensource and could be recompiled for ARM (hey, it works on POWER!).

Log in

Don't have an account? Sign up now