Gracemont Microarchitecture (E-Core) Examined

The smaller core as part of Intel’s hybrid Alder Lake design is called an E-core, and is built on the Gracemont microarchitecture. It forms part of Intel’s Atom family of processors, and is a significant microarchitectural jump over the previous Atom core design called Tremont.

  • 2008: Bonnell
  • 2011: Saltwell
  • 2013: Silvermont
  • 2015: Airmont
  • 2016: Goldmont
  • 2017: Goldmont Plus
  • 2020: Tremont
  • 2021: Gracemont

Based on Intel’s diagrams, the company is pitching that the size of its Golden Cove core means that in the space it would normally fit one of its P-core designs, it can enable a four core cluster of E-cores along with a shared 4MB L2 cache between them.

For performance, Intel has some pretty wild claims. It splits them up into single thread and multi-thread comparisons using SPECrate2017_int.

When comparing 1C1T of Gracemont against 1C1T of Skylake, Intel’s numbers suggest:

  • +40% performance at iso-power (using a middling frequency)
  • 40% less power* at iso-performance (peak Skylake performance)

*'<40%' is now stood to mean 'below 40 power'

When comparing 4C4T of Gracemont against 2C4T of Skylake, Intel’s numbers suggest:

  • +80% performance peak vs peak
  • 80% less power at iso performance)peak Skylake performance

We pushed the two Intel slides together to show how they presented this data.

By these graphics it looks like that for peak single thread, we should see around +8% better than Skylake performance while consuming just over half the power – look for Cinebench R20 scores for one Gracemont thread around 478 then (Skylake 6700K scored 443). With +8% for single thread in mind, the +80% in MT comparing 4 cores of Gracemont to two fully loaded Skylake cores seems a little low – we’ve got double the physical cores with Gracemont compared to Skylake here. But there’s likely some additional performance regression with the cache structure on the new Atom core, which we’ll get to later on this page.

These claims are substantial. Intel hasn’t compared the new Atom core generation on generation, because it felt that having AVX2 support would put the new Atom at a significant advantage. But what Intel is saying with these graphs is that we should expect better-than Skylake performance at much less power.  We saw Skylake processors up to 28 cores in HEDT – it makes me wonder if Intel might not enable its new Atom core for that market. If that’s the case, where is our 64-core Atom version for HEDT? I’ll take one.

Front End

The big item about the Tremont front end of the core was the move to dual three-wide decode paths, enabling two concurrent streams of decode that could support 3 per cycle. That still remains in Gracemont, but is backed by a double-size 64 KB L1 Instruction cache. This ties into the branch predictor which enables prefetchers at all cache levels, along with a 5000-entry branch target cache which Intel says in improved over the previous generation.

Back on the decoder, Intel supports on-demand decode which stores a history of previous decodes in the instruction cache and if recent misses are recalled at the decode stage, the on-demand stream will pull directly from the instruction cache instead, saving time – if the prefetch/decode works, the content in the instruction cache is updated, however if it is doing poorly then the scope is ‘re-enabled for general fetches’ to get a better understanding of the instruction flow. This almost sounds like a micro-op cache without having a physical cache, but is more to do about instruction streaming. Either way, the decoders can push up to six uops into the second half of the front end.

For Gracemont, the reorder buffer size has increased from 208 in Tremont to 256, which is important aspect given that Gracemont now has a total of 17 (!) execution ports, compared to eight in Tremont. This is also significantly wider than the execution capabilities of Golden Cove's 12 ports, related to the disaggregated integer and FP/vector pipeline design. However, despite that width, the allocation stage feeding into the reservation stations can only process five instructions per cycle. On the return path, each core can retire eight instructions per cycle.

Back End

So it’s a bit insane to have 17 execution ports here. There are a lot of repeated units as well, which means that Gracemont is expecting to see repeated instruction use and requires the parallelism to do more per cycle and then perhaps sit idle waiting for the next instructions to come down the pipe. Overall we have

  • 4 Integer ALUs (ALU/Shift), two of which can do MUL/DIV
  • 4 Address Generator Units, 2 Load + 2 Store
  • 2 Branch Ports
  • 2 Extra Integer Store ports
  • 2 Floating Point/Vector store ports
  • 3 Floating Point/Vector ALUs: 3x ALUs, 2x AES/FMUL/FADD, 1x SHA/IMUL/FDIV

It will be interesting to see exactly how many of these can be accessed simultaneously. In previous core designs a lot of this functionality would be enabled though the same port – even Alder Lake’s P-core only has 12 execution ports, with some ports doing double duty on Vector and Integer workloads. In the P-core there is a single scheduler for both types of workloads, whereas in the E-core there are two separate schedulers, which is more akin to what we see on non-x86 core designs. It’s a tradeoff in complexity and ease-of-use.

The back-end is support by a 32 KiB L1-Data cache, which supports a 3-cycle pointer chasing latency and 64 outstanding cache misses. There are two load and two store ports, which means 2x16 byte loads and 2 x 16 byte stores to the L1-D.

There is also has a shared 4 MB L2 cache across all four E-cores in a cluster with 17-cycle latency. The shared L2 cache can support 64 bytes per cycle read/write per core, which Intel states is sufficient for all four cores. The new L2 supports up to 64 outstanding misses to the deeper memory subsystem – which seems fair, but has to be shared amongst the 4 cores.

Intel states that it has a Resource Director that will arbitrate cache accesses between the four cores in a cluster to ensure fairness, confirming that Intel are building these E-cores in for multi-threaded performance rather than latency sensitive scenarios where one thread might have priority.

Other Highlights

As the first Atom core to have AVX2 enabled, there are two vector ports that support FMUL and FADD (port 20 and port 21), which means that we should expect peak performance compared to previous Atoms to be substantial. The addition of VNNI-INT8 over the AVX unit means that Intel wants these E-cores to enable situations where high inference throughput is needed, such as perhaps video analysis.

Intel was keen to point out that Gracemont has all of its latest security features including Control Flow Enhancement Technology (CET), and virtualization redirects under its VT-rp feature.

Overall, Intel stated that the E-cores are tuned for voltage more than anything else (such as performance, area). This means that the E-cores are set to use a lot less power, which may help in mobile scenarios. But as mentioned before on the first page, it will depend on how much power the ring has to consume in that environment - it should be worth noting that each four core Atom cluster only has a single stop on the full ring in Alder Lake, which Intel says should not cause congestion but it is a possibility – if each core is fully loaded, there is only 512 KB of L2 cache per core before making the jump to main memory, which indicates that in a fully loaded scenario, that might be a bottleneck.

Golden Cove Microarchitecture (P-Core) Examined Instruction Sets: Alder Lake Dumps AVX-512 in a BIG Way
Comments Locked

223 Comments

View All Comments

  • mode_13h - Saturday, August 21, 2021 - link

    > micro-servers Big-little seems much more useful, but Intel typically has gone
    > a long way to ensure that 'desktop' CPUs were not used for that.

    Huh? Their E-series Xeons are simply desktop CPUs with a few less features fused-off.
  • abufrejoval - Saturday, August 21, 2021 - link

    We all know that that's what they are technically. But that didn't keep Intel from selling them, and the required chipsets, which had the same magical snake oil, at a heavy markup, before AMD came along and offered ECC and some RAS for free.

    And that is going to come back, as soon as Intel sees a chance to make an extra buck.
  • mode_13h - Sunday, August 22, 2021 - link

    > that didn't keep Intel from selling them, and the required chipsets, ... at a heavy markup

    Except for maybe the top-end models, I tended to observe E-series (previously E3-series) selling for similar prices as the desktop equivalents. However, workstation motherboards generally have commanded a higher price.
  • mode_13h - Saturday, August 21, 2021 - link

    > given an equal price choice, I cannot imagine preferring the use of AVX-512 for
    > dark silicon and two P-core tiles for eight E-cores over a fully enabled ten P-core chip.

    Aside from the AVX-512 part, the math is quite easy. If you just take what they showed in the Gracemont vs. Skylake comparison, it's clear that 8 E-cores is going to provide more performance than 2 more P-cores. And anything well-threaded enough to fully-load 10 P-cores should probably scale well to at least 16 (or 24) threads.

    As for the AVX-512 part, its absence irrelevant if your workload doesn't utilize it, as most don't. Ryzen 5000 has been very competitive without it. I'm sure folks at Intel were keen to cite that.

    > And I'd belive that most 'desktop' users would prefer the same.

    I don't love the E-cores, in a desktop, but that's more out of apprehension about how well-scheduled they'll be. If the scheduling is good, then I'm fine with having them instead of 2 more P-cores.
  • Spunjji - Tuesday, August 24, 2021 - link

    "If the scheduling is good, then I'm fine with having them instead of 2 more P-cores"
    It's all going to come down to this. Lakefield wasn't great in that regard; presumably anybody running Windows 10 on ADL will get a slightly more refined version of that experience. Hopefully the Windows 11 + Thread Director combo will be what's needed!
  • Timur Born - Friday, August 20, 2021 - link

    My current experience is that anything based on older Lua versions (like 5.1) does not seem to benefit from IPC gains at all, only clock-rate matters.
  • abufrejoval - Saturday, August 21, 2021 - link

    That's interesting.

    If IPC gains were "uniform", that should not happen, which then means they aren't uniform enough for your workloads.

    But a bit more data would help... especially if a newer version of Lua doesn't show this behavior?
  • mode_13h - Sunday, August 22, 2021 - link

    I've never used it, but it seems to be dynamically-typed and table-based. So, I'd assume it's doing lots of hashtable lookups, which seem harder for a CPU to optimize. Maybe newer versions have some optimizations to reduce the frequency of table lookups, which would also be more OoO-friendly.
  • TristanSDX - Friday, August 20, 2021 - link

    for disabled AVX-512, I suspect they found last-minute bug in P cores. ADL is in mass production now, and release can't be posponed, and not many apps use it currently, so they disabled it completely. For Saphire Rapids AVX-512 is mandatory, that's why they delayed it half year, from Q421 to Q222, HPC product without AVX-512 used by many HPC software is just brick.
  • mode_13h - Saturday, August 21, 2021 - link

    That doesn't explain the E-core situation, though. As the article explains, enabling it on only the P-cores would create a real headache for the OS' thread scheduler.

    Plus, a lot of multi-threaded software naively spawns one worker thread per hardware thread, so you could end up with a situation where 24 software threads are fighting for execution time on 16 hardware threads, leading to more context switches and higher software latencies.

    I'm just saying that the stated explanation of disabling it because it's lacking in the E-cores is a suitable reason.

    As for Sapphire Rapids' delays, it's not hard to imagine they're having yield problems with such big chips on their new "Intel 7" process. Also, they're behind schedule for the software support for it, with AMX still being in really rough shape.

Log in

Don't have an account? Sign up now