SoCs

This week Samsung Electronics and Synopsys announced that Samsung has taped out its first mobile system-on-chip on Samsung Foundry's 3nm gate-all-around (GAA) process technology. The announcement, coming from electronic design automation Synopsys, further notes that Samsung used the Synopsys.ai EDA suite to place-n-route the layout and verify design of the SoC, which in turn enabled higher performance. Samsung's unnamed high-performance mobile SoC relies on 'flagship' general-purpose CPU and GPU architectures as well as various IP blocks from Synopsys. SoC designers used Synopsys.ai EDA software, including the Synopsys DSO.ai to fine-tune design and maximize yields as well as Synopsys Fusion Compiler RTL-to-GDSII solution to achieve higher performance, lower power, and optimize area (PPA). And while the news that Samsung has developed a high-performance SoC using the Synopsys.ai...

Hot Chips 2018: Xilinx DNN Processors Live Blog

Xilinx has several talks this year at Hot Chips, and aside from the ACAP earlier in the day, the talk about their Deep Neural Network processor also looks interesting...

3 by Ian Cutress on 8/21/2018

Hot Chips 2018: Tachyum Prodigy CPU Live Blog

One of the more interesting talks is from Tachyum, who have a deep presentation about their new hyperscale Prodigy processors with up to 64 cores and eight channel memory...

15 by Dr. Ian Cutress on 8/21/2018

Hot Chips 2018: Arm's Machine Learning Core Live Blog

Arm officially announced Project Trillium earlier this year, as a way to bring machine learning to the Arm ecosystem. As part of the trade show today, we have a...

3 by Ian Cutress on 8/21/2018

Hot Chips 2018: Xilinx 7nm ACAP Live Blog

We've covered the initial announcement of Xilinx's Project Everest before, which will be coming to market as an 'ACAP' built on 7nm. Xilinx believes that the ACAP is the...

3 by Ian Cutress on 8/21/2018

Hot Chips 2018: Going Deep on NVSwitch Live Blog (8:45am PT, 3:45pm UTC)

The first talk on Day 2 of Hot Chips is from NVIDIA, going into more detail about how the NVSwitch works with a lot more detail than from previous...

2 by Ian Cutress on 8/21/2018

AnandTech at Hot Chips 30: Our 2018 Show Coverage

The last couple of days have been a whirlwind of coverage at two key events: Hot Chips, the semiconductor industry conference regarding new product designs, and some minor thing...

5 by Ian Cutress on 8/21/2018

Hot Chips 2018: NVIDIA Xavier SoC Live Blog (5pm PT, Midnight UTC)

NVIDIA has a couple of talks during Hot Chips, with this first one going into the Xavier SoC.

5 by Ian Cutress on 8/20/2018

Hot Chips 2018: SMIV DNN SoC for IoT Live Blog (4pm PT, 11pm UTC)

A slightly different talk from Hot Chips this time: here's a neural network processor aimed at IoT devices based in 16nm. The slides look interesting, so we're covering this...

5 by Ian Cutress on 8/20/2018

Hot Chips 2018: AMD APU Optimization Live Blog (Noon PT, 7pm UTC)

AMD is also at Hot Chips, speaking about Raven Ridge and its APUs. The key elements to this talk will be the optimizations made for Raven Ridge, specifically around...

10 by Ian Cutress on 8/20/2018

Hot Chips 2018: Samsung’s Exynos-M3 CPU Architecture Deep Dive

As part of this year’s first conference talks at HotChips 2018 at the Flint Center for the Performing Arts in Cupertino, California, we’ve had the pleasure to finally hear...

45 by Andrei Frumusanu on 8/20/2018

Hot Chips 2018: The Google Pixel Visual Core Live Blog (10am PT, 5pm UTC)

Hot Chips has started! One of the first talks today is from Google, detailing the Pixel Visual Core processor found in the latest Pixel smartphones. The talk is called...

14 by Ian Cutress on 8/20/2018

Qualcomm Reveals The Snapdragon 670 Platform: Dual Big Cores + Adreno 615

Today Qualcomm is announcing a new entry in the 600-series mid-range line-up. In a surprise move, the new Snapdragon 670 is a direct successor to the Snapdragon 660, and...

25 by Andrei Frumusanu on 8/8/2018

More Details About the ZhongShan Subor Z+ Console, with Custom AMD Ryzen SoC

One of the exciting elements from last week’s coverage was the announcement of the upcoming Chinese market PC and Console, from Zhongshan Subor, featuring some AMD custom silicon. Through...

36 by Ian Cutress on 8/6/2018

AMD Creates Quad Core Zen SoC with 24 Vega CUs for Chinese Consoles

AMD has cornered the x86 console market with its handy semi-custom mix of processors and graphics. While we slowly await the next generation of consoles from Microsoft and Sony...

58 by Ian Cutress on 8/3/2018

Alldocube X Tablet Launching Mid-August

While the Android tablet market doesn’t seem to be very exciting these days, Chinese manufacturer Alldocube has announced a new tablet which looks pretty nice, yet fits into the...

13 by Brett Howse on 8/1/2018

MediaTek Launches Helio A Series SoCs, Starting With Helio A22

Following the success of their Helio P and X series SoCs, MediaTek this morning is announcing a third SoC family to complement the existing pats: the Helio A series...

10 by Ryan Smith on 7/17/2018

An AnandTech Exclusive: The Jim Keller Interview

You asked for it, and we were able to get 30 minutes with the big man himself. Jim Keller falls into the rockstar category of engineers: lots of ideas...

129 by Dr. Ian Cutress on 7/16/2018

Marvell Completes Acquisition of Cavium, Gets CPU, Networking & Security Assets

Marvell on Friday announced that it had completed its takeover of Cavium. The combined company will have a broad portfolio of IP and patents that promise to enable the...

15 by Anton Shilov on 7/9/2018

Arm and Samsung Extend Artisan POP IP Collaboration to 7LPP and 5LPE Nodes

Arm and Samsung Foundry this week announced plans to extend their collaboration to 7LPP and 5LPE process technologies. Under the terms of the agreement, Arm will offer Samsung Foundry...

23 by Anton Shilov on 7/6/2018

Qualcomm’s Server Team Loses VP of Technology, Centriq Future Unknown

Normally we don’t particularly comment on these sorts of transitions at big companies unless they are C-level (CEO, CTO), however the narrative surrounding Qualcomm’s Centriq product line is still...

34 by Ian Cutress on 7/6/2018

Log in

Don't have an account? Sign up now