Improving Transistor Performance

While it's impossible to predict what the future will hold, it's relatively easy to see what trends will take place in the near future to keep Moore's law alive. For example, instead of using dual or tri gate technologies (FinFET), it's reasonable to expect that gate-all-around (GAA) will become the next step in the evolution of transistor shapes. However, it's currently not clear when this would reach mass production, if ever.

Currently, experimental GAAFETs have only existed for around eight years. For reference, FinFETs were first made in 1999. It took around a decade and a half for any such 3D transistor to reach mass production. By continuing to scale to higher k dielectrics for the gate, lower k dielectrics between interconnects, lower resistance metals for interconnects, and even better strain engineering, we will continue to see the scaling of CMOS technology.

CNFET / Joerg Appenzeller

Unfortunately, all of these can only go so far. Fundamentally, there will be a point where silicon-based transistors cannot scale any further. Gate oxides, channel lengths, and other critical dimensions can only shrink so much before either resistance is too high or a myriad of other effects render smaller sizes infeasible.

The next step is almost impossible to predict. Perhaps graphene will take the place of silicon, but graphene currently is impossible to mass-produce and is a semi-metal, which means that it inherently lacks a band gap, although it's possible to create one. While it's been shown that semi-metal transistor logic is possible, it's currently in the very early stages and Boolean logic may be impossible with graphene. Phosphorene has promise as a semiconductor replacement for silicon, but it's similarly impossible to mass produce. Phosphorene-based FETs are still in the exploratory stages, with no actual transistor created yet.

TFET Lateral Structure / Jteherani / CC BY SA

Outside of material changes, the working mechanism of the transistor itself may change. One promising candidate right now is the tunnel field effect transistor, which relies on band to band tunneling rather than the traditional inversion layer generation for current flow. This is similar to leakage that occurs from halo doping, which results from the conduction band of the channel material aligning with the valence band of the source or drain material. As seen by the photo above, this type of transistor has an undoped body and the source/drain are of opposing types. The gate structure is unchanged from previous MOSFETs. In practice, such a transistor structure has a much higher rate of current increase per unit of voltage.

Final Words

It's been a long road, but let's quickly go over the topics covered in this article. We started with a description of semiconductor physics, then moved to the basics of MOSFETs and CMOS. Once we understood how MOSFETs work in CMOS to create logic, we moved on to the actual fabrication process of these transistors in a chip.

After all of this, we discussed how companies have increased the resolution of the fabrication process to make ever smaller transistors, and we continued by looking at how companies have increased transistor performance despite significant engineering challenges. Then we briefly covered what the future may hold for improving device performance and continuing to improve the lithography process to continue making smaller transistors.

But there is far more to be done, as literally everything we write about at AnandTech depends upon ever faster, smaller, and more efficient transistors packed as tightly as possible. Without this continued innovation, the PC, smartphone, and wearables that we see today would be impossible to make. However, continuing the scaling that we have seen within the past decades will require more ingenuity and resources than ever before to continue pushing the limits of what's possible.

Normally, we would end things here, but this time I'd like to end by thanking everyone that has helped make this article possible. It has taken weeks of research and asking questions to get to this point, and I'm sure that without help it would have taken months. Out of the many that have helped, I'd like to specifically thank Chenming Hu, a professor in the graduate school at UC Berkeley and the lead researcher in FinFET and UTB-SOI/FD-SOI, for taking the time to help clarify the reasons for SOI and FinFET. I'd also like to thank Gerd Grau, a doctoral candidate in the graduate school at UC Berkeley, and Intel's TMG for answering all kinds of questions about solid state physics in general.

The Future: Interconnects and Next-Gen Lithography
Comments Locked

77 Comments

View All Comments

  • Kristian Vättö - Saturday, October 11, 2014 - link

    Double (or more) patterning.
  • EMM81 - Monday, October 13, 2014 - link

    Over the past 20 years many tricks have been developed to overcome what was originally believed to be an optical limitation. Lithography tools are much more complicated than the brief descriptions given and have had many advances including going from aligners->steppers->scanners, NA=1.35, illumination system improvements, resist improvements, anti-reflective coating improvements, different types of phase shift on all reticles... On top of the fact that we can resolve sub 50nm pitches with 193nm light we use various double patterning schemes.
  • abufrejoval - Saturday, October 11, 2014 - link

    It's a wonderful article, something I'll quote and link to for a long time very much along the epochal piece Anand wrote on Flash.

    I'd like to see a followup or a mention to memristors, though. Not only because they are about to eliminate disk, flash, DRAM and SRAM, but because they also have such huge potential in FPGA and log redesign.

    On top their stacking capabilities together with the low energy density and production cost may actually allow attenuating the need for process shrinks to the point where it almost feels as though Moore's law was continuing, but not through process shrinks.
  • abufrejoval - Saturday, October 11, 2014 - link

    need edit!

    last two words on 2nd paragraph should read "logic redesign".
  • stimudent - Saturday, October 11, 2014 - link

    You won't find an article like this on childish websites like Tech Report.
  • l_d_allan - Saturday, October 11, 2014 - link

    I don't find this an "Intro", but rather like a summary of EE 301 for people who have taken EE 101, EE 201, and EE 301. To me, it assumes a high level to prerequisites.
    Also, the article could benefit by more care taken to definitions ... for example, EUV is used repeatedly on the fourth page, but not defined until the sixth page.
  • The0ne - Saturday, October 11, 2014 - link

    "But there is far more to be done, as literally everything we write about at AnandTech depends upon ever faster, smaller, and more efficient transistors packed as tightly as possible. Without this continued innovation, the PC, smartphone, and wearables that we see today would be impossible to make."

    Thank you. Needed this article to remove the foul taste left my the recent iPhone reviews reasoning the phone is great because it can't improve any more.
  • Doomtomb - Monday, October 13, 2014 - link

    Awesome article. Great introduction to people curious about the industry. Some terms were glossed over that might help explain semiconductor physics more such as work function and crystal lattice, but there's only so much you can cover at once
  • vermaasit - Monday, October 13, 2014 - link

    This was awesome article. Keep going
  • DIYEyal - Monday, October 13, 2014 - link

    One of the best articles on this site. Good job!

Log in

Don't have an account? Sign up now