Sandy Bridge: Inside the Core Microarchitecture

In the modern era, we are talking about chips roughly the size of 100-200mm2 having up to eight high performance cores on the latest variants of Intel’s 14nm process or AMD’s use of GlobalFoundries / upcoming with TSMC. Back with Sandy Bridge, 32nm was a different beast. The manufacturing process was still planar without FinFETs, implementing Intel’s second generation High-K Metal Gate, and achieving 0.7x scaling compared to the larger 45nm previous. The Core i7-2600K was the largest quad core die, running at 216 mm2 and 1.16 billion transistors, which compared to the latest Coffee Lake processors on 14nm offer eight cores at ~170 mm2 and over 2 billion transistors.

The big leap of the era was in the microarchitecture. Sandy Bridge promised (and delivered) a significant uplift in raw clock-for-clock performance over the previous generation Westmere processors, and forms the base schema for Intel’s latest chips almost a decade later. A number of key innovations were first made available at retail through Sandy Bridge, which have been built upon and iterated over many times to get to the high performance we have today.

Through this page, I have largely used Anand’s initial report into the microarchitecture back in 2010 as a base, with additions based on the modern look on this processor design.

A Quick Recap: A Basic Out-of-Order CPU Core

For those new to CPU design, here’s a quick run through of how an out-of-order CPU works. Broadly speaking, a core is divided into the front end and back end, and data first comes into the front end.

In the front end, we have the prefetchers and branch predictors that will predict and pull in instructions from the main memory. The idea here is that if you can predict what data and instructions are needed next before they are needed, then you can save time by having that data close to the core when needed. The instructions are then placed into a decoder, which transforms the byte code instruction into a number of ‘micro-operations’ that the core can then use. There are different types of decoders for simple and complex instructions – simple x86 instructions map easily to one micro-op, whereas more complex instructions can decode to more – the ideal situation is a decode ratio as low as possible, although sometimes instructions can be split into more micro-ops if they can be run in parallel together (instruction level parallelism, or ILP).

If the core has a ‘micro-operation cache’, or uOp cache, then the results from each decoded instruction ends up there. The core can detect before an instruction is decoded if that particular instruction has been decoded recently, and use the result from the previous decode rather than doing a full decode which wastes power.

Now the uOps are now in an allocation queue, which for modern cores usually means that the core can detect if the instructions are part of a simple loop, or if it can fuse uOps together to make the whole thing go quicker, it can. The uOps are then fed into the re-order buffer, which forms the ‘back end’ of the core.

In the back end, starting with the re-order buffer, uOps can be rearranged depending on where the data each micro-op needs is. This buffer can rename and allocate uOps depending on where they need to go (integer vs FP), and depending on the core, it can also act as a retire station for complete instructions. After the re-order buffer, uOps are fed into the scheduler in a desired order to ensure data is ready and the uOp throughput is as high as possible.

In the scheduler, it passes the uOps into the execution ports (what does the compute) as required. Some cores have a unified scheduler between all the ports, however some split the scheduler depending on integer operations or vector style operations. Most out-of-order cores can have anywhere from 4 to 10 ports (or more), and these execution ports will do the math required on the data given the instruction passed through the core. Execution ports can take the form of a load unit (load from cache), a store unit (store into cache), an integer math unit, a floating point math unit, vector math units, special division units, and a few others for special operations. After the execution port is complete, the data can then be held for reuse in a cache, be pushed to main memory, while the instruction feeds into the retire queue, and finally retired.

This brief overview doesn’t touch on some of the mechanisms that modern cores use to help caching and data look up, such as transaction buffers, stream buffers, tagging, etc., some of which get iterative improvements every generation, but usually when we talk about ‘instructions per clock’ as a measure of performance, we aim to get as many instructions through the core (through the front end and back end) as many as possible – this relies on the decode strength of the front end, the prefetchers, the reorder buffers, and maximising the execution port use, along with retiring as many completed instructions as possible every clock cycle.

With this in mind, hopefully it will give context to some of Anand’s analysis back when Sandy Bridge was launched.

Sandy Bridge: The Front End

Sandy Bridge’s CPU architecture is evolutionary from a high level viewpoint but far more revolutionary in terms of the number of transistors that have been changed since Nehalem/Westmere. The biggest change for Sandy Bridge (and all microarchitectures since) is the micro-op cache (uOp cache).

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/uopcache.jpg

In Sandy Bridge, there’s now a micro-op cache that caches instructions as they’re decoded. There’s no sophisticated algorithm here, the cache simply grabs instructions as they’re decoded. When SB’s fetch hardware grabs a new instruction it first checks to see if the instruction is in the micro-op cache, if it is then the cache services the rest of the pipeline and the front end is powered down. The decode hardware is a very complex part of the x86 pipeline, turning it off saves a significant amount of power.

The cache is direct mapped and can store approximately 1.5K micro-ops, which is effectively the equivalent of a 6KB instruction cache. The micro-op cache is fully included in the L1 instructioncache and enjoys approximately an 80% hit rate for most applications. You get slightly higher and more consistent bandwidth from the micro-op cache vs. the instruction cache. The actual L1 instruction and data caches haven’t changed, they’re still 32KB each (for total of 64KB L1).

All instructions that are fed out of the decoder can be cached by this engine and as I mentioned before, it’s a blind cache - all instructions are cached. Least recently used data is evicted as it runs out of space. This may sound a lot like Pentium 4’s trace cache but with one major difference: it doesn’t cache traces. It really looks like an instruction cache that stores micro-ops instead of macro-ops (x86 instructions).

Along with the new micro-op cache, Intel also introduced a completely redesigned branch prediction unit. The new BPU is roughly the same footprint as its predecessor, but is much more accurate. The increase in accuracy is the result of three major innovations.

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/BPU.jpg

The standard branch predictor is a 2-bit predictor. Each branch is marked in a table as taken/not taken with an associated confidence (strong/weak). Intel found that nearly all of the branches predicted by this bimodal predictor have a strong confidence. In Sandy Bridge, the bimodal branch predictor uses a single confidence bit for multiple branches rather than using one confidence bit per branch. As a result, you have the same number of bits in your branch history table representing many more branches, which can lead to more accurate predictions in the future.

Branch targets also got an efficiency makeover. In previous architectures there was a single size for branch targets, however it turns out that most targets are relatively close. Rather than storing all branch targets in large structures capable of addressing far away targets, SNB now includes support for multiple branch target sizes. With smaller target sizes there’s less wasted space and now the CPU can keep track of more targets, improving prediction speed.

Finally we have the conventional method of increasing the accuracy of a branch predictor: using more history bits. Unfortunately this only works well for certain types of branches that require looking at long patterns of instructions, and not well for shorter more common branches (e.g. loops, if/else). Sandy Bridge’s BPU partitions branches into those that need a short vs. long history for accurate prediction.

A Physical Register File

Compared to Westmere, Sandy Bridge moves to a physical register file. In Core 2 and Nehalem, every micro-op had a copy of every operand that it needed. This meant the out-of-order execution hardware (scheduler/reorder buffer/associated queues) had to be much larger as it needed to accommodate the micro-ops as well as their associated data. Back in the Core Duo days that was 80-bits of data. When Intel implemented SSE, the burden grew to 128-bits. With AVX however we now have potentially 256-bit operands associated with each instruction, and the amount that the scheduling/reordering hardware would have to grow to support the AVX execution hardware Intel wanted to enable was too much.

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/PRF.jpg

A physical register file stores micro-op operands in the register file; as the micro-op travels down the OoO engine it only carries pointers to its operands and not the data itself. This significantly reduces the power of the out of order execution hardware (moving large amounts of data around a chip eats tons of power), and it also reduces die area further down the pipe. The die savings are translated into a larger out of order window.

The die area savings are key as they enable one of Sandy Bridge’s major innovations: AVX performance.

AVX

The AVX instructions support 256-bit operands, which as you can guess can eat up quite a bit of die area. The move to a physical register file enabled Intel to increase the OoO buffers to properly feed a higher throughput floating point engine. Intel clearly believes in AVX as it extended all of its SIMD units to 256-bit wide. The extension is done at minimal die expense. Nehalem has three execution ports and three stacks of execution units:

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/AVX1.jpg

Sandy Bridge allows 256-bit AVX instructions to borrow 128-bits of the integer SIMD datapath. This minimizes the impact of AVX on the execution die area while enabling twice the FP throughput, you get two 256-bit AVX operations per clock (+ one 256-bit AVX load).

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/AVX2.jpg

Granted you can’t mix 256-bit AVX and 128-bit integer SSE ops, however remember SNB now has larger buffers to help extract more instruction level parallelism (ILP).

Load and Store

The improvements to Sandy Bridge’s FP performance increase the demands on the load/store units. In Nehalem/Westmere you had three LS ports: load, store address and store data.

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/memory1.jpg

In SNB, the load and store address ports are now symmetric so each port can service a load or store address. This doubles the load bandwidth compared to Westmere, which is important as Intel doubled the peak floating point performance in Sandy Bridge.

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/memory2.jpg

There are some integer execution improvements in Sandy Bridge, although they are more limited. Add with carry (ADC) instruction throughput is doubled, while large scale multiplies (64 * 64) see a ~25% speedup.

https://images.anandtech.com/reviews/cpu/intel/sandybridge/arch/otherarch.jpg

Upgrading from an Intel Core i7-2600K: Testing Sandy Bridge in 2019 Sandy Bridge: Outside the Core
Comments Locked

213 Comments

View All Comments

  • monglerbongler - Friday, June 14, 2019 - link

    You don't need to buy a new computer every year and with an intelligently made upfront investment you can potentially keep your desktop, with minimal or zero hardware upgrades, for a *very* long time

    /news at 11

    If there is any argument that supports this its Intel's consumer/prosumer HEDT platforms.

    The X99 was compelling over X58. The x299 is not even remotely compelling. I still have my old X99/ i7-5930k (6 core 40 lane PCIe3). its still fantastic, but thats at least partially because I bit the bullet and invested in a good motherboard and GPU at the time. All modern games still play fantastically and it can handle absolutely anything I throw at it.

    More a statement of "future proofing" than inherent performance.
  • Sancus - Saturday, June 15, 2019 - link

    It's always disappointing to see heavily GPU bottlenecked benchmarks in articles like these, without a clear warning that they are totally irrelevant to the question at hand.

    It also feeds into the false narrative that what resolution you play at matters for CPU benchmarks. What matters a lot more is what GAME you're playing, and these tests never benchmark the actually CPU bound multiplayer games that people are playing, because benchmarking those is Hard.
  • BlueB - Friday, June 21, 2019 - link

    So if you're a gamer, there is STILL no reason for you to upgrade.
  • Hogan773 - Friday, July 12, 2019 - link

    I have a 2600K system with ASRock mobo

    Now that there is so much hype about the Ryzen 3, is that my best option if I wanted to upgrade? I guess I would need a new mobo and memory in addition to the CPU. Otherwise I can use the same SSD etc.
  • tshoobs - Wednesday, July 17, 2019 - link

    Still running my 3770 at stock clocks - "not a worry in the world, cold beer in my hand".

    Added an SSD and upgraded to a 1070 from the original GPU, . Best machine I've ever had.
  • gamefoo21 - Saturday, August 10, 2019 - link

    I was running my X1950XT AIW at wonder level overclocks with a Pentium M overclocked, and crushing Athlon 64 users.

    It would have been really interesting to see that 7700K with DDR3. I run my 7700K @ 5Ghz with DDR3-2100 CL10 on a GA-Z170-HD3. Sadly the power delivery system on my board is at it's limits. :-(

    But still a massive upgrade from a FX-8320e and MSI 970 mobo that I had before.
  • gamefoo21 - Saturday, August 10, 2019 - link

    I forgot to add that it's 32GB(8GB x 4) G.Skill CL9 1866 1.5V that runs at 2100 CL10 at 1.5V but I have to give up 1T command rate.

    The GPU that I carried over is the Fury X. Bios modded of course so it's undervolted, underclocked and the HBM timings tightened. Whips the stock config.

    The GPU is next up for upgrading, but I'm holding out for Navi with hardware RT and hopefully HBM. Once you get a taste of the low latency it's hard to go back.

    OpenCL memory bandwidth for my Fury X punches over 320GB/s with single digit latency. The iGPU in my 7700K, is around 12-14GB/s and the latency is... -_-
  • BuffyCombs - Thursday, February 13, 2020 - link

    There are several things about this article I dont like

    1. In the Game Tests, i actually dont care if one CPU is 50 Percent better when one shows 10 FPS and the other 15. Also I don’t care if it is 200 or 300 fps. So I would change to scale into a simple metric and that is: is it fun to play or not.

    2. Development is not mentioned: The Core Wars has just started and the monopoly of intel is over. Why should we invest in new processors when competition has just begun. I predict price per performance will fall faster in the next years than it did in the previous 10 years. So buying now is buying into an overpriced and fast developing marked.

    3. There is no Discussion if one should buy a used 2600k system today. I bought one a few weeks ago. It was 170 USD, has 16 GB of Ram and a gtx760. It plays all the games I throw at it and does the encoding of some videos I take in classes every week. Also I modified its cooler so that it runs very very silent. Using this system is a dream! Of course one could invest several times as much for a new system that is twice as fast in benchmarks but for now id rather save a few hundred bucks and invest when the competition becomes stagnant again or when some software I use really demands for it because of new instructions.
  • scrubman - Tuesday, March 23, 2021 - link

    Great write-up! Love my 2600k still to this day and solid at 4.6GHz on air the whole time! I do see an upgrade this year though. She's been a beast!! Never thought the 300A Celeron OC to 450 would get beat! haha
  • SirBlot - Monday, July 25, 2022 - link

    I get 60fps SotTR cpu game and render with rtx 3060ti with ray tracing on medium and everything else ultra. 2600k @4.2

Log in

Don't have an account? Sign up now