A8: Apple’s First 20nm SoC

As has been customary for every iPhone launch since the company began publicly naming their SoCs, Apple has once again rolled out a new SoC for their latest line of phones. With the launch of the iPhone 6 series Apple is now up to their eight generation SoC, the appropriately named A8.

After a period of rapid change with the A6 and A7 SoCs – which introduced Apple’s first custom CPU design (Swift) and the first ARMv8 AArch64 design (Cyclone) respectively – A8 is a more structured and straightforward evolution of Apple’s SoC designs. Which is not to say that Apple hasn’t been busy tweaking their designs to extract ever-improved performance and power efficiency, as we’ll see, but our examination of A8 has not uncovered the same kind of radical changes that defined A6 and A7.

The heart and soul of A8 is as always the CPU and GPU. We’ll be taking a look at each of these individually in a moment, but from a high level both of these are evolutions of their predecessors found in A7. Apple’s GPU of choice remains Imagination’s PowerVR, having upgraded from the Series6 based G6430 to Imagination’s newer GX6450 design. Meanwhile Apple continues to develop their own CPUs and A8 packs their latest design, which is an enhanced version of the Cyclone core first introduced in A7.

Stepping away from the GPU and CPU for the moment, the biggest change about A8 is that it’s smaller. As discovered by Chipworks, A8 is being fabricated on TSMC’s new 20nm process, making the iPhone 6 among the first smartphones to be shipped with a 20nm SoC.

This move to 20nm is not unexpected, but nonetheless it is considerable for a couple of reasons. The first is that this means Apple has moved production over to TSMC’s 20nm HKMG Planar process, making this the first time an Apple SoC has been manufactured anywhere but a Samsung fab. There are numerous possible reasons for this – and not every reason needs to be technical – but from a process development standpoint it’s important to note that over the last few generations TSMC has been the leader among contract foundries, being the first to get new processes up and running for volume production.

Apple A8 vs A7 SoCs
  Apple A8 (2014) Apple A7 (2013)
Manufacturing Process TSMC 20nm HKMG Samsung 28nm HKMG
Die Size 89mm2 102mm2
Transistor Count ~2B "Over 1B"
CPU 2 x Apple Enhanced Cyclone
ARMv8 64-bit cores
2 x Apple Cyclone
ARMv8 64-bit cores
GPU IMG PowerVR GX6450 IMG PowerVR G6430

This move is also quite considerable because it means for the first time Apple is manufacturing their SoCs on a bleeding edge manufacturing process. Prior to this Apple has been slow to utilize new manufacturing processes, only finally utilizing a 28nm process in late 2013 for A7 over a year after 28nm first became available. The fact that we are seeing a 20nm SoC from Apple at a time when almost everyone else is still on 28nm indicates just how much the market has shifted over the last few years, and how Apple’s SoC development is now synchronized with the very edge of semiconductor fabrication technology.

Finally, the switch to 20nm is interesting because after the last couple of generations being so-called “half node” jumps – 45nm to 40nm to 32nm to 28nm – the jump from 28nm to 20nm is a full node jump (note that Apple didn't ever use 40nm, however). This means we are seeing a larger increase in transistor density than in the previous generations, and ideally a larger decrease in power consumption as well.

In practice TSMC’s 20nm process is going to be a mixed bag; it can offer 30% higher speeds, 1.9x the density, or 25% less power consumption than their 28nm process, but not all three at once. In particular power consumption and speeds will be directly opposed, so any use of higher clock speeds will eat into power consumption improvements. This of course gets murkier once we’re comparing TSMC to Samsung, but the principle of clock speed/power tradeoffs remains the same regardless.

Not accounting for minor differences between TSMC and Samsung, in an ideal case Apple is looking at 51% area scaling (the same design on 20nm can be no smaller than 51% of the die area at 28nm). In reality, nothing ever scales perfectly so the density gains will depend on the kind of I/C being laid down (logic, SRAM, etc.). For the complete chip a 60-70% scaling factor is going to be a better approximation, which for Apple means they’ve picked up a lot room to spend on new functionality and reducing their overall die size.

Apple SoC Evolution
  CPU Perf GPU Perf Die Size Transistors Process
A5 ~13x ~20x 122m2 <1B 45nm
A6 ~26x ~34x 97mm2 <1B 32nm
A7 40x 56x 102mm2 >1B 28nm
A8 50x 84x 89mm2 ~2B 20nm

Meanwhile once again this year Apple opened up on die size and transistor counts. A8 weighs in at around 2 billion transistors, as opposed to the “over 1 billion” transistors found on A7. We also have the die size for A8 – 89mm2 – which is some 13% smaller than A7’s 102mm2 die. This makes it clear that Apple has chosen to split their transistor density improvements between adding features/performance and reducing their size, rather than going all-in on either direction.

In the case of using a bleeding edge node this is generally a good call, as Apple and TSMC will need to deal with the fact that chip yields at 20nm will not be as good as they are on the highly mature 28nm process. With lower chip yields, a smaller die will offset some of those yield losses by reducing the number of manufacturing flaws any given die touches, improving the overall yield.


A8 With POP RAM Removed

Moving on, looking at A8 we can see that Apple’s memory subsystem design has not significantly changed from A7. Once again Apple has placed an SRAM cache on the chip to service both the CPU and the GPU. Based on an examination of the die and of latency numbers, this L3 SRAM cache remains unchanged from A7 at 4MB. Meanwhile we also find a series of SDRAM interfaces which drive the A8’s package-on-package (POP) based main memory. Based on teardowns from iFixit, Apple is using 1GB of LPDDR3-1600, the same speed grade of LPDDR3 and capacity that they used for the iPhone 5s. iFixit has found both Hynix and Elpida memory in their phones, so Apple is once again using multiple sources for their RAM.

When we start poking at memory bandwidth we find that memory bandwidths are consistently higher than on A7, but only ever so slightly. This points to Apple having worked out further optimizations to make better use of the memory bandwidth they have available, since as we’ve previously determined they’re still using LPDDR3-1600 speeds.

Geekbench 3 Memory Bandwidth Comparison (1 thread)
  Stream Copy Stream Scale Stream Add Stream Triad
Apple A8 1.4GHz 9.08 GB/s 5.37 GB/s 5.76 GB/s 5.78 GB/s
Apple A7 1.3GHz 8.34 GB/s 5.21 GB/s 5.67 GB/s 5.69 GB/s
A8 Advantage 9% 3% 2% 2%

The Stream Copy score ends up being the biggest gain at 9%. Otherwise the rest of the benchmarks only show 2-3% memory bandwidth increases.

More interesting is memory latency, which shows some unexpected improvements once we get out of the L1 and L2 caches. At both the 1MB – 4MB region of the SRAM and 6MB+ region of main memory, memory latency is consistently lower on A8 versus A7. In both cases we’re looking at latencies about 20ns faster than A7. This identical 20ns gain tells us that that Apple is still doing main memory lookups after the L3 lookup fails, and this in turn means the 20ns gain we’re seeing is due to L3 cache optimizations. We have a couple of ideas for how Apple could have improved L3 latency by nearly 20% like this, but at this time with Apple staying quiet on their architecture like usual, it’s not apparent which of these ideas are the correct ones.

Turning our eyes back to A8 one final time, we find that while a lot of die space is occupied by the CPU, GPU, and SRAM (as we’d expect), there is also quite a bit of space occupied by other blocks Apple has integrated into their design. Without already knowing what you’re looking for these blocks are difficult to identify, but even without being able to do this we have a reasonable idea of what blocks Apple has integrated. Among these we’ll find audio controllers, USB controllers, video encoders/decoders, flash memory controllers, the camera ISP, and of course all kinds of interconnect.

All of these blocks are fixed function hardware (or at best, limited flexibility DSPs), which are equally important to not only the A8’s functionality but power efficiency. By assigning tasks to dedicated hardware Apple does spend some die space on that hardware, but in return these blocks are more efficient than doing those tasks entirely in software. Hence Apple (and SoC designers in general) have a strong incentive to offload as much work as possible to keep power consumption in check. This move towards more fixed function hardware is part of a general “wheel of reincarnation” cycle that has been a constant in processor design over the years, which sees a continuous shift between fixed function and programmable hardware. SoCs, for the most part, are still going towards fixed function hardware, and this should continue for a while yet.

In any case, while we can’t identify individual blocks on A8 we do know that Apple has added a few features to A8 that are present in some form or another among these blocks. New to A8 is some mix of H.265 (HEVC) hardware, which would be necessary to enable the FaceTime over H.265 functionality that is being introduced on the iPhone 6. Apple’s “desktop class scaler” that is used for handling non-native resolution applications and for down-sampling the internal rendering resolution of the iPhone 6 Plus would also be present here.

Introduction A8’s CPU: What Comes After Cyclone?
Comments Locked

531 Comments

View All Comments

  • Oxford Guy - Saturday, October 4, 2014 - link

    "With Cyclone Apple hit on a very solid design: use a wide, high-IPC design with great latency in order to reach high performance levels at low clock speeds. By keeping the CPU wide and the clock speed low, Apple was able to hit their performance goals without having to push the envelope on power consumption, as lower clock speeds help keep CPU power use in check. It’s all very Intel Core-like, all things considered."

    The G4 and G5 processors were wide when Intel was doing its lame NetBurst thing. The "wide and shallow" G4 in particular had low clock speeds. The "wide and deep" G5 bumped them up a bit. The "narrow and deep" NetBurst was the high clock speed awful performance per watt Intel brainchild.
  • Coup27 - Saturday, October 4, 2014 - link

    "Fortunately, based on the USB device information for the phones, both the iPhone 6 and 6 Plus support charging with power adapters like the iPad charging block that can provide up to 2.1 amps at five volts. Using one of these chargers will dramatically reduce charge time on the new iPhones, and it's a very worthwhile investment (assuming you don't already have an iPad) for the iPhone 6 Plus in particular."

    If this was any other phone manufacturer they would have been lambasted for taking the cheaper route and not providing a charger which charges the battery in the most optimal time. However, with this being Apple and all, it's perfectly acceptable to ship a 1A charger and it's a "worthwhile investment" for the user to buy another charger (if they don't already have one) with a higher current to charge their phone faster.

    Simply not acceptable. As much as I love this site, this review is no more than the typical Apple fodder which is trotted out all over the web. The fact that one German publication was recently struck off the media list by Apple for posting a bending video of the 6 Plus vs Note 3 shows what happens when the media dare say something negative and it's quite clear they would rather stay on Apple's good side than be truly honest in their reviews.

    There was no mention in this review about half the hardware choices made here. The screen ppi was very lightly glossed over. No mention of the lack of stereo speakers or waterproofing to name but a few. Someone suggested that waterproofing adds a lot of bulk to the phone. On the S5 I would agree, however the Xperia Z3 is only 0.4mm thicker than the iPhone 6 so it can be done whilst still looking stylish.

    Apple produced marketing photos where they photo shopped out the camera bump. Yet here it is described as an "interesting design choice". No mention of it wobbling all over the place when placed on a flat surface. Let's look at PDAF. Given virtually no time on the S5 review but a full explanation given here. The S5 review was also 10 pages long written by 2 people, this is 14 pages written by 4 people.

    Sometimes you can't move on the home page on this site for articles about Apple, yet there has been nothing so far, not even a pipeline story about the disastrous bugs coming out of iOS 8.

    Add to this the badly managed silent departures of Anand and Brian to Apple, I don't think there's much point reading Apple reviews any more.
  • tralalalalalala40 - Sunday, October 5, 2014 - link

    1) Everyone charges overnight, no difference to user experience.

    2) No proof that german media site was struck off some magical list.

    3) ppi does not equal quality. (Unless you believe more MP is better, then please just go buy a lumia)

    4) no phone is water proof, it's a range of water resistance.

    5) no proof that apple photoshopped their own marketing material to change the camera design

    6) no one uses their phone with the back flat on the table

    7) every OS has bugs, the issue is that apple actually gives out upgrades (que the majority of android users still stuck with a massively susceptible default browser). You won't hear about android L issues because it will take 2+ years to be on 20% of android phones.

    8) employees change jobs. everyone knows about it, do you want a NYTs editorial about it?

    9) if you want non-scientific reviews go to cnet.
  • Coup27 - Sunday, October 5, 2014 - link

    I can't decide which is worse. The answers in post, or the arrogance.

    1. Not true. People's lives are all different. To suggest everyone's phone can last until they go to bed is a massive generalisation. The supplied charger should charge the phone in the most optimal time, regardless when the phone is charged.

    2. http://blog.gsmarena.com/apple-completely-loses-pl...

    3. Why are you talking about two different things? ppi is clearly directly related to quality. You could have the best panel money could buy but if the ppi was 96 on a 5" screen it would look terrible. It is now widely accepted, and even mentioned in this review that ~450 ppi is a perfect balance between resolution and battery life. MP has no relevance in this discussion.

    4. There are many IP67 phones which are immersion proof up to 1M for 30 minutes. There are now IP68 phones which are immersion proof beyond 1M for 30 minutes. If they phone can be dunked under water, that's water proof.

    5. http://blog.gsmarena.com/apple-iphone-6-features-c...

    6. Do you speak for everybody in the world?

    7. KitKat and Jelly Bean account for over 75% of Android devices. (Google it if you want the source). Yes, budget phones are often left behind in software, but that's because they are budget phones. People sign up for that experience when they pay a fraction of the cost of an iPhone or Android flagship.

    8. Anand moving to Apple should have broke on this site, not every other tech site. Anand has gone on for years about openness and honesty. Where was it then? Brian disappeared completely and despite multiple requests, nobody would say where he had gone. That news was also broken by other tech sites. It was like AT had something to hide. Nobody would have really cared if they had gone to Apple, so why do it in the shadows.
  • tralalalalalala40 - Wednesday, October 8, 2014 - link

    1) If you need a phone to last an inordinate amount of time get the charging cases.

    2) Hoax, they are invited to the ipad air 2 event: https://twitter.com/CB_Telzerow

    3) false, if samsung released a 5000 ppi phone that was slow as hell (like the note 4 is http://appleinsider.com/articles/14/10/04/samsung-... ) how is that high quality? iPhone 6 was proven to have the best color which is more important than pixels you can't see.

    4) yep, you can get a case for this if this is your thing. there is more choice with apple here since there are 1000x as many cases to suit your need. (with android you are locked in to what the device maker makes since there aren't that many niche cases)

    5) thanks for the proof. no photoshop just choice of angles. go look at the apple website for many angles of the bulge if that is your thing.

    6) in this case yes, it's not ergonomic to use the phone that way. it's why droid makers gave up on the kickstand

    7) that number is for those that use google play (which accounts for <8% of all android phones, lol)

    8) name another news site that had a going away article by one of the employees. especially one going to work for a company that requires employees to not bring attention to themselves (think non-compete)

    thanks
  • gonsolo - Monday, October 6, 2014 - link

    I'd like to see a benchmark of app startup times since this is where I have to wait most of the time nowadays.
  • tralalalalalala40 - Wednesday, October 8, 2014 - link

    http://www.cultofandroid.com/69538/iphone-6-multit...

    iphone 6 is blazing fast. note 4 needs 32 cores to compete.
  • falc0ne - Tuesday, October 7, 2014 - link

    "In battery life, once again Apple has managed to successfully maintain good battery life despite a relatively small battery capacity". Seriously? Since when iPhone has a good battery life. You can never go through the day with one. Everyone knows that. Sorry guys but ain't buying that. If this is good, then what does that make Xperia Z3? Good of Thunder in battery? :)
  • falc0ne - Tuesday, October 7, 2014 - link

    **God of thunder:) autocorrect dictionary typo . anyway...you get my point
  • Hook Em14 - Tuesday, October 7, 2014 - link

    Wow...hardcore Android fanboys have to be some of the most ignorant, illogical, and pathetic people around.

Log in

Don't have an account? Sign up now