It’s been a little over three weeks since Apple unveiled the new iPhone XS, XS Max and the XR. The new flagship line-up is one of Apple’s most important ones to date; this year we’re seeing the company expand last year’s new iPhone X design across all of its new models, meaning big changes for all users. 2018 has been an odd year for the smartphone market as more than ever before we saw the competition try to react and also mimic the iPhone X – the design language especially has been something that we saw replicated across a lot of various designs.

Instead of iterating on the design, Apple has stayed true to its “S” generation release tradition and doubled down on what we saw last year with the iPhone X, all the while expanding the design across new form-factor phones as well. Today we review the first two of this year’s three new models: the iPhone XS, and its bigger brother the iPhone XS Max. The iPhone XR unfortunately releases later on this month – so we'll be taking a look at it separately.

Today we’ll be going into the detail of all aspects of the phone, included a much awaited deep dive of the new A12 SoC. Given Apple's ever-growing focus on the camera capabilities of their phones, I have also prepared an extensive camera comparison for this review – comparing shots across different modes on 14 devices. Hang in tight, this is a long one.

Starting off, let’s go over the specifications of the new iPhone XS and XS Max:

Apple 2018 iPhone XS Specifications
  iPhone XS iPhone XS Max
SoC Apple A12 Bionic

2 × Vortex @ 2.5GHz
4 × Tempest @ 1.59GHz
GPU 4-core "G11P" @ >~1.1GHz
DRAM 4GB LPDDR4X
Display 5.8-inch OLED
2436×1125
DCI-P3/True Tone
625 cd/m² brightness
1M:1 contrast ratio
3D Touch
6.5-inch OLED
2688×1242
DCI-P3/True Tone
625 cd/m² brightness
1M:1 contrast ratio
3D Touch
Size Height 143.6 mm 157.5 mm
Width 70.9 mm 77.4 mm
Depth 7.7 mm 7.7 mm
Weight 177 grams 208 grams
Battery Capacity 2658mAh / 10.13Wh 3174mAh / 12.08Wh
Wireless Charging Qi
Rear Cameras 12 MP 1.4µm pixels, f/1.8, OIS
Wide Color Gamut
Quad LED True Tone Flash
Portrait Mode, Portrait Lighting, Depth Control
12 MP f/2.4 Telephoto, OIS
2x Optical Zoom
Portrait Mode, Portrait Lighting, Depth Control
Front Camera 7MP f/2.2
Wide Gamut, Retina Flash, Portrait Mode,
Portrait Lighting, Depth Control
Storage 64 GB
256 GB
512 GB
64 GB
256 GB
512 GB
I/O Apple Lightning
Wireless (local) 802.11ac Wi-Fi with 2x2 MIMO + Bluetooth 5.0 + NFC
Cellular Intel XMM7560 Modem
UE Category 16 LTE (1Gbps) with 4x4 MIMO and LAA
Splash, Water, Dust Resistance IP68
up to 2 meters, up to 30 minutes
Dual-SIM nano-SIM + eSIM
nano-SIM + nano-SIM (China model)
Launch Price 64 GB: $999
256 GB: $1149
512 GB: $1349
64 GB: $1099
256 GB: $1249
512 GB: $1449

At the heart of the new iPhones is the brand new Apple A12 SoC. The new chipset is the very first consumer piece of silicon that is being manufactured on TSMC’s new 7nm process. The new process promises greater transistor density and reduced die area of the chip, which gives Apple the ability to cram in more transistors in the same area, ultimately increasing the complexity and capabilities of the new SoC. We’ll go into more detail about the process node in a dedicated section, but least to say, in terms of sheer transistor counts it’s a healthy jump for Apple’s newest design.

The SoC’s CPU complex is now powered by two new “Vortex” CPU cores running at up to 2.5GHz, providing new levels of high performance. Apple claims the new CPUs perform around 15% better than last year’s A11 Monsoon cores – here it seems the company’s marketing was a tad conservative as the real performance figures of the new CPU are significantly higher. Alongside the performant Vortex cores, we see four new smaller efficiency cores named “Tempest”. The new small cores bring some performance improvements, but it’s mostly in terms on power and power efficiency where we see Tempest make some bigger leaps.

The A12’s GPU is the G11P – here Apple has made the biggest performance claims, advertising up to 50% higher figures. We’ll see how the new successor to last year’s A11 GPU in its dedicated section.

On the memory and storage side of things, we now finally see a significant boost in main memory capacity, as both the iPhone XS and XS Max sport 4GB of LPDDR4X RAM, up from 2GB and 3GB in the iPhone 8/X family. In terms of storage capacity, the new models come in 64, 256 and 512GB tiers. Here it’s a tad disappointing to see the base model come in at only 64GB, I think offering 128GB would have resulted in a much more even distribution in the models.

Front and centre of the new iPhones is the new “Super Retina” OLED display. Apple first introduced OLED displays in its lineup in the iPhone X – and the new iPhone XS and XS Max are a continuation of that panel, with an obviously bigger iteration for the Max. The displays are outright fantastic and among the best in the market, offering a fully colour managed wide "Display P3" gamut, very high brightness up to 650 cd/m², and excellent viewing angles. The iPhone XS has the same resolution as last year’s iPhone X, at 2436 x 1125 pixels, while the XS Max maintains the same pixel density by increasing the pixel count to 2688 x 1242.

On the back side, both phones are again a continuation of the iPhone X design. The glass back offers NFC and wireless charging capabilities. Wireless charging has seen an upgrade and claims to be able to charge faster, and also improve on the off-centre and off-axis charging performance.

Apple has worked with Corning to create a new formulation that is said to improve durability and scratch resistance. I’ll leave the testing of this to other people!

The sides of the phones come in highly glossy “surgical grade” steel. While I do appreciate Apple’s intent here, and I understand some people have personal preferences, I’m not a big fan of such finishes as I find them impractical and more slippery than the anodised aluminium of previous generation iPhones. It’s also a hell of a fingerprint magnet.

While the iPhone XS is more or less indistinguishable from the iPhone X – the one visual difference between the new and old is found in the lower left and upper right corners. The left microphone grill has halved its size and is only three holes wide now. Here Apple has introduced two new antenna cut-outs in the corners that serve the two new cellular antennas which enable the iPhone XS’ to achieve 4x4 MIMO.

Indeed in terms of cellular connectivity, the new iPhones boast a significant jump as we’ve seen an upgrade in download speeds to a gigabit for LTE networks. In terms of internals, this was achieved by now exclusively adopting Intel’s new XMM7560 baseband platform. This is Intel’s first chipset to support CDMA and also the first modem to be manufactured on Intel’s own 14nm process.

On the camera side of things we see the same dual 12MP camera module configuration as on the iPhone X – a normal wide angle and a zoom lens. The big difference with the XS is the upgrade in sensor size from an area of 32.8mm² to 40.6mm². Because the lens has remained the same and also offers the same f/1.8 aperture, the increase of the sensor size results in a slightly wider field of view than last year’s models. Light sensitivity has been increased thanks to the bigger sensor, and hence, the bigger pixels, increasing in size from 1.22µm to 1.4µm. The telephoto lens remains largely similar, with a 12MP 2x zoom module.

The iPhone XS Max in contrast to the iPhone XS is just a much bigger device. Apple noted that it’s calling it the “Max” instead of the “Plus” denomination, because it has no added features, and is just a bigger variant of the smaller XS. Here I applaud Apple for not making any feature discrepancies based on the model size – something I really hated in the past with the dual camera being found only on the Plus models.

The iPhone XS Max has a 3174mAh/12.08Wh battery while the smaller XS features a 2658mAh/10.13Wh battery. While Apple calls this the biggest battery ever in an iPhone, and that’s true, Apple is still a tad behind the battery densities that Android manufacturers have now come to commonly use in larger form factor phones.

 

Again, in a comparison between the iPhone X and the new iPhone XS – you’ll be hard pressed to see the difference and you’ll really have to focus to find the new antenna cut-outs to tell them apart.  

 

Meanwhile the iPhone XS Max is largely the same form-factor as the iPhone 8 Plus, although the Max is technically 0.7mm narrower and 0.9mm shorter. For users opting for the larger models, the biggest difference is of course the massive increase in screen size, and I have to say, the XS Max does look very good due to its sheer screen-to-body ratio, which is higher than the smaller XS.

Finally the last big mention before we go deep into our review the price of the new phones. These new models are not successors to the iPhone 8 and 8 Plus – something I imagine the iPhone XR will have much more success in achieving – but rather continuation of the new high price points of the iPhone X. The higher storage capacity price points in particular are exceptional, coming in at $1349 for the XS and $1449 for the XS Max. If the price is worth it for you, is something you best decide along with us as we go deeper into the hardware of the new phones.

The Apple A12 - First Commercial 7nm Silicon
Comments Locked

253 Comments

View All Comments

  • Andrei Frumusanu - Friday, October 5, 2018 - link

    Pixels and Mate 20 are next in line.
  • name99 - Friday, October 5, 2018 - link

    Hi Andrei,

    A few comments/questions.

    - the detailed Vortex and GPU die shots seem to bear no resemblance to the full SoC die shot. I cannot figure out the relationship no matter how I try to twist and reflect...

    Because I can't place them, I can't see the physical relationship of the "new A10 cache" to the rest of the SoC. If it's TIGHTLY coupled to one core, one possibility is value prediction? Another suggested idea that requires a fair bit of storage is instruction criticality tracking.

    If it's loosely coupled to both cores, one possibility is it's a central repository for prefetch? Some sort of total prefetching engine that knows the usage history of the L1s AND L2s and is performing not just fancy prefetch (at both L1s and L2s) but additional related services like dead block prediction or drowsiness prediction?
  • Andrei Frumusanu - Friday, October 5, 2018 - link

    The Vortex and GPU are just crops of the die shot at the top of the page. The Vortex shot is the bottom core rotated 90° counter-clockwise, and the GPU core is either top left or bottom right core, again rotated 90° ccw so that I could have them laid out horizontally.

    The "A10 cache" has no relationship with the SoC, it's part of the front-end.
  • name99 - Friday, October 5, 2018 - link

    OK, I got ya. Thanks for the clarification. I agree, no obvious connection to L2 and the rest of the SoC. So value prediction or instruction criticality? VP mostly makes sense for loads, so we'd expect it near LS, but criticality makes sense near the front end. It's certainly something I'm partial to, though it's been mostly ignored in the literature compared to other topics. I agree it's a long shot, but, like you said, what else is that block for?
  • name99 - Friday, October 5, 2018 - link

    "The benchmark is characterised by being instruction store limited – again part of the Vortex µarch that I saw a great improvement in."

    Can you clarify this? There are multiple possible improvements.
    - You state that A12 supports 2-wide store. The impression I got was that as of A11, Apple supported the fairly tradition 2-wide load/1-wide store per cycle. Is your contention that even as of A11, 2 stores/cycle were possible? Is there perhaps an improvement here along the lines of: previously the CPU could sustain 3 LS ops/cycle (pick a combination from up to 2 loads and up to 2 stores) and now it can sustain 4 LS ops/cycle?

    - Alternatively, are the stores (and loads) wider? As of A11, the width of one path to the L1 cache was 128 bits wide. It was for this reason that bulk loads and stores could run as fast using pair load-store integer as using vector load stores (and there was no improvement in using the multi-vector load-stores). When I spoke to some Apple folks about this, the impression I got was that they were doing fancy gathering in the load store buffers before the cache, and so there was no "instruction" advantage to using vector load/stores, whatever instruction sequence you ran, it would as aggressively and as wide as possible gather before hitting the cache. So if the LS queue is now gathering to 256 bits wide, that's going to give you double the LS bandwidth (of course for appropriately written, very dense back to back load/stores).

    - alternatively do you simply mean that non-aligned load/stores are handled better (eg LS that crossed cache lines were formerly expensive and now are not)? You'd hope that code doesn't do much of these, but nothing about C-code horrors surprises me any more...

    BTW, it's hard to find exactly comparable numbers, but
    https://www.anandtech.com/show/11544/intel-skylake...
    shows the performance of a range of different server class CPUs on SPEC2006 INT, compiled under much the same conditions. A12 is, ballpark, about at the level of Skylake-SP at 3.8 to 4GHz...
    (Presumably Skylake would do a lot better in *some* FP bcs of AVX512, but FP results aren't available.) This gives insight across a wider range of x86 servers than the link Andrei provided.
    The ideal would be to have SPEC2006 compiled using XCode for say the newest iMac and iMac Pro, and (for mobile space) MacBook Pro...
  • Andrei Frumusanu - Friday, October 5, 2018 - link

    > Is your contention that even as of A11, 2 stores/cycle were possible?

    Yes.

    > - Alternatively, are the stores (and loads) wider?

    Didn't verify, and very unlikely.

    > - alternatively do you simply mean that non-aligned load/stores are handled better

    Yes.
  • remedo - Friday, October 5, 2018 - link

    Can you please review the massive NPU? It seems like NPU deserves a lot more attention given the industry trend.
  • Andrei Frumusanu - Friday, October 5, 2018 - link

    I don't have any good way to test it at the moment.
  • Ansamor - Friday, October 5, 2018 - link

    Aren't these (https://itunes.apple.com/es/app/aimark/id137796825... tests cross-platform or comparable with the ones of Master Lu? I remember that you used it to compare the Kirin 970 against the Qualcomm DSP.
  • Andrei Frumusanu - Friday, October 5, 2018 - link

    Wasn't aware it was available on iOS, I'll look into it.

Log in

Don't have an account? Sign up now