Section by Andrei Frumusanu

The New Zen 3 Core: Front-End Updates

Moving on, let’s see what makes the Zen3 microarchitecture tick and how detail on how it actually improves things compared to its predecessor design, starting off with the front-end of the core which includes branch prediction, decode, the OP-cache path and instruction cache, and the dispatch stage.

From a high-level overview, Zen3’s front-end looks the same as on Zen2, at least from a block-diagram perspective. The fundamental building blocks are the same, starting off with the branch-predictor unit which AMD calls state-of-the-art. This feeds into a 32KB instruction cache which forwards instructions into a 4-wide decode block. We’re still maintaining a two-way flow into the OP-queue, as when we see instructions again which have been previously decoded, they are then stored in the OP-cache from which they can be retrieved with a greater bandwidth (8 Mops/cycle) and with less power consumption.

Improvements of the Zen3 cores in the actual blocks here include a faster branch predictor which is able to predict more branches per cycle. AMD wouldn’t exactly detail what this means but we suspect that this could allude to now two branch predictions per cycle instead of just one. This is still a TAGE based design as had been introduced in Zen2, and AMD does say that it has been able to improve the accuracy of the predictor.

Amongst the branch unit structure changes, we’ve seen a rebalancing of the BTBs, with the L1 BTB now doubling in size from 512 to 1024 entries. The L2 BTB has seen a slight reduction from 7K to 6.5K entries, but allowed the structure to be more efficient. The indirect target array (ITA) has also seen a more substantial increase from 1024 to 1536 entries.

If there is a misprediction, the new design reduces the cycle latency required to get a new stream going. AMD wouldn’t exactly detail the exact absolute misprediction cycles or how faster it is in this generation, but it would be a more significant performance boost to the overall design if the misprediction penalty is indeed reduced this generation.

AMD claims no bubbles on most predictions due to the increased branch predictor bandwidth, here I can see parallels to what Arm had introduced with the Cortex-A77, where a similar doubled-up branch predictor bandwidth would be able to run ahead of subsequent pipelines stages and thus fill bubble gaps ahead of them hitting the execution stages and potentially stalling the core.

On the side of the instruction cache, we didn’t see a change in the size of the structure as it’s still a 32KB 8-way block, however AMD has improved its utilisation. Prefetchers are now said to be more efficient and aggressive in actually pulling data out of the L2 ahead of them being used in the L1. We don’t know exactly what kind of pattern AMD alludes to having improved here, but if the L1I behaves the same as the L1D, then adjacent cache lines would then be pulled into the L1I here as well. The part of having a better utilisation wasn’t clear in terms of details and AMD wasn’t willing to divulge more, but we suspect a new cache line replacement policy to be a key aspect of this new improvement.

Being an x86 core, one of the difficulties of the ISA is the fact that instructions are of a variable length with encoding varying from 1 byte to 15 bytes. This has been legacy side-effect of the continuous extensions to the instruction set over the decades, and as modern CPU microarchitectures become wider in their execution throughput, it had become an issue for architects to design efficient wide decoders. For Zen3, AMD opted to remain with a 4-wide design, as going wider would have meant additional pipeline cycles which would have reduced the performance of the whole design.

Bypassing the decode stage through a structure such as the Op-cache is nowadays the preferred method to solve this issue, with the first-generation Zen microarchitecture being the first AMD design to implement such a block. However, such a design also brings problems, such as one set of instructions residing in the instruction cache, and its target residing in the OP-cache, again whose target might again be found in the instruction cache. AMD found this to be a quite large inefficiency in Zen2, and thus evolved the design to better handle instruction flows from both the I-cache and the OP-cache and to deliver them into the µOP-queue. AMD’s researchers seem to have published a more in-depth paper addressing the improvements.

On the dispatch side, Zen3 remains a 6-wide machine, emitting up to 6-Macro-Ops per cycle to the execution units, meaning that the maximum IPC of the core remains at 6. The Op-cache being able to deliver 8 Macro-Ops into the µOp-queue would serve as a mechanism to further reduce pipeline bubbles in the front-end – as the full 8-wide width of that structure wouldn’t be hit at all times.

On the execution engine side of things, we’ve seen a larger overhaul of the design as the Zen3 core has seen a widening of both the integer and floating-point issue width, with larger execution windows and lower latency execution units.

Starting off in more detail on the integer side, the one larger change in the design has been a move from individual schedulers for each of the execution units to a more consolidated design of four schedulers issuing into two execution units each. These new 24-entry schedulers should be more power efficient than having separate smaller schedulers, and the entry capacity also grows slightly from 92 to 96.

The physical register file has seen a slight increase from 180 entries to 192 entries, allowing for a slight increase in the integer OOO-window, with the actual reorder-buffer of the core growing from 224 instructions to 256 instructions, which in the context of competing microarchitectures such as Intel’s 352 ROB in Sunny Cove or Apple giant ROB still seems relatively small.

The overall integer execution unit issue width has grown from 7 to 10. The breakdown here is that while the core still has 4 ALUs, we’ve now seen one of the branch ports separate into its own dedicated unit, whilst the other unit still shares the same port as one of the ALUs, allowing for the unshared ALU to dedicate itself more to actual arithmetic instructions. Not depicted here is an additional store unit, as well as a third load unit, which is what brings us to 10 issue units in total on the integer side.

On the floating-point side, the dispatch width has been increased from 4 µOps to 6 µOps. Similar to the integer pipelines, AMD has opted to disaggregate some of the pipelines capabilities, such as moving the floating point store and floating-point-to-integer conversion units into their own dedicated ports and units, so that the main execution pipelines are able to see higher utilisation with actual compute instructions.

One of the bigger improvements in the instruction latencies has been the shaving off of a cycle from 5 to 4 for fused multiply accumulate operations (FMAC). The scheduler on the FP side has also seen an increase in order to handle more in-flight instructions as loads on the integer side are fetching the required operands, although AMD here doesn’t disclose the exact increases.

Zen 3 At A Glance: Behind The +19% IPC Increase Zen 3: Load/Store and a Massive L3 Cache
Comments Locked

339 Comments

View All Comments

  • LithiumFirefly - Friday, November 6, 2020 - link

    I thought the whole point to a civilization game benchmark was a time to complete turn not FPS who cares about FPS and a turn-based game.
  • dagobah123 - Friday, November 6, 2020 - link

    The more benchmarks the better. These are general purpose CPUs. Wouldn't it be a shame if you bought a 120hz+ 4k monitor with an expensive graphics card, only to find out your CPU was limiting your frames? Sure the game is playable @ 5 FPS as the author mentioned. However, it's getting harder to make the CPU the bottleneck in a lot of these games at higher resolutions and quality settings, so they have to resort to this. Would anyone play a game @ 360p? No, but if you want to see which CPU is better I say lets include every benchmark we can find.
  • CookieBin - Friday, November 6, 2020 - link

    I find it funny that these huge gains mean literally nothing at 4K. So all these different review sites highlight sky high fps at 1080p because at 4K that huge advantage becomes less than a 0.3% improvement.. keep pounding sand linus tech tips. I've never seen such a big nothing burger. No idiot out there buys a $800 5950X to play video games at 1080p.
  • chuyayala - Friday, November 6, 2020 - link

    The reason they test 1080p is because game processing is CPU-bound at that resolution (they are testing the CPU after-all). The higher the resolution, the more the GPU is working (not the CPU). The reason why there aren't much gains in 4k is because processing is limited by the GPU power. If we assume we get ultra powerful GPUs that can run 4k games at 120+ frames per second, then the CPU becomes more important.
  • dagobah123 - Friday, November 6, 2020 - link

    This is simply not true. It only appears to 'mean nothing' if you don't realize the bottleneck in the testing system on most of the benchmarks are the GPU. Meaning the GPU is maxed out at 100%. In this case you're right, the difference between many CPUs will not matter, but what about next year when you decide to buy the next high-end GPU, only to find out the CPU you choose couldn't handle much more. This is why 360p, 720p, even 1080p benchmarks are included to show you just how much more ahead one CPU is over another. Check out the test setup--they are using a 2080 Ti. Come check out the updated reviews after they test all this on 3090s and 6900 XTs.
    Pit a Ferarri and a Ford Model T against one another. Sure they both keep up with one another in the grocery parking lot @ 15mph. Take em out on the freeway with a 70mph speed limit and you'll have a clear winner. Let alone let em loose on the race track.
    Future proof yourself a bit, buy a 5600k or 5800k for your 4k gaming. If you don't update your CPU often you'll be glad you did a couple years out if you drop in that next GPU.
  • nandnandnand - Saturday, November 7, 2020 - link

    5950X will make your web browsing snappier... so you can load more AnandTech ads. ;)
  • zodiacfml - Sunday, November 8, 2020 - link

    duh? Steam survey shows 1080p the most popular resolution for gaming. Aside from that, it is difficult to maintain frame rates for 240Hz/360Hz monitors.
    You might have a point with 720p res though
  • realbabilu - Friday, November 6, 2020 - link

    First: I think you should compare with F or KF Intel version, for price comparison. Since they don't have internal Gpu. Somehow AMD not included the FAN also, beware good cooling isn't cheap.
    SECOND: it's nice to had coding bench with optimization here windows, with AVX2 and some flags compiling, Amd only provide optimization compiling on Linux only, I think they should be on windows too with optimized math kernel and compiler.
    ThIrd: the price performance is justified now. In zen2 release the price was lower than Intel that time, made Intel justified the price for 10th Gen. Now from price sensitive, Intel still fine per price / performance ratio,even though it's need more power consumption.
  • duploxxx - Saturday, November 7, 2020 - link

    the ryzens have a base TDP of 105W and peaking towards 140-150W
    not like the intels that peak at +200ish W, there you need good cooling.

    A Dark rock slim or shadow rock can easily handle this and it will cost you 50-60$..

    go find a cooler for the +200W so that it wont throttle all the time for the Intel
  • realbabilu - Saturday, November 7, 2020 - link

    Great. I think Anand tech should do cooling shootout for 5900x/5950x bench.
    To find the minimum air cooler for this,
    AMD only list noctua and bequiet as air cooler, others as liquid cooler at https://www.amd.com/en/processors/ryzen-thermal-so...

    The slim rock and nh14s maybe the cheapest on the list. It is interesting could more budget double fan tower should enough for 5900x/5950x that has 145 watt max like deepcool gammax 400 pro (double fan), coolermaster ma410p, and shadow rock 2/3, and maybe cheapest aio coolermaster liquid master 120 lite that not listed on amd list.

Log in

Don't have an account? Sign up now