20nm Manufacturing Process

Both Samsung Semiconductor and TSMC delivered their first 20nm products in Q3 2014, but they don't represent the same jump in efficiency. Samsung's 28nm HKMG process varied a lot from TSMC's 28nm HPM process. While Samsung initially had a process lead with their gate-first approach when introducing 32nm HKMG and subsequently the 28nm shrink, TSMC went the route of gate-last approach. The advantage of the gate-last approach is that it allows for lower variance in the manufacturing process and being able to allow for better power characteristics. We've seen this as TSMC introduced the highly optimized HPM process in mobile. Qualcomm has been the biggest beneficiary as they've taken full advantage of this process jump with the Snapdragon 800 series as they moved from 28nm LP in previous SoCs.

In practical terms, Samsung is brought back on even terms with TSMC in terms of theoretical power consumption. In fact, 28nm HPM still has the same nominal transistor voltage as Samsung's new 20nm process.

Luckily Samsung provides useful power modeling values as part of the new Intelligent Power Allocation driver for the 5422 and 5430 so we can get a rough theoretical apples-to-apples comparison as to what their 20nm process brings over the 28nm one used in their previous SoCs.

I took the median chip bin for both SoCs to extract the voltage tables in the comparison and used the P=C*f*V² formula to compute the theoretical power figure, just as Samsung does in their IPA driver for the power allocation figures. The C coefficient values are also provided by the platform tables.

We can see that for the A15 cores, there's an average 24% power reduction over all frequencies, with the top frequencies achieving a good 29% reduction. The A7 cores see the biggest overall voltage drop, averaging around -125mV, resulting in an overall 40% power reduction and even 56% at the top frequency. It's also very likely that Samsung has been tweaking the layout of the cores for either power or die size; we've seen this as the block sizes of the CPUs have varied a lot between the 5410, 5420 and 5422, even though they were on the same process node.

While these figures provide quite a significant power reduction by themselves, they must be put into perspective with what Qualcomm is publishing for their Krait cores. The Snapdragon 805 on a median speed bin at 2.65GHz declares itself with a 965mW power consumption, going down to 57mW at 300MHz. While keeping in mind that these figures ignore L2 cache power consumption as Qualcomm feeds this on a dedicated voltage rail, it still gives us a good representation of how efficient the HPM process is. The highest voltages on the S805 are still lower than the top few frequencies found on both the 5430 and the 5433.

20nm does bring with itself a big improvement in die size. If we take the 5420 as the 28nm comparison part and match it against the 5430, we see a big 45% decrease on the A7 core size, and an even bigger 64% reduction on the A15 core size. The total cluster sizes remain relatively conservative in their scaling while shrinking about 15%; this is due to SRAM in the caches having a lower shrinking factor than pure logic blocks. One must keep in mind that auxiliary logic such as PLLs, bus interfaces, and various other small blocks are part of a CPU cluster and may also impact the effective scalability. Samsung also takes advantage of artificially scaling CPU core sizes to control power consumption, so we might not be looking at an apples-to-apples comparison, especially when considering that the 5430 is employing a newer major IP revision of the CPU cores.

Exynos 5420 vs Exynos 5430 block sizes
  Exynos 5420 Exynos 5430 Scaling Factor
A7 core 0.58mm² 0.4mm² 0.690
A7 cluster 3.8mm² 3.3mm² 0.868
A15 core 2.74mm² 1.67mm² 0.609
A15 cluster 16.49mm² 14.5mm² 0.879

The Mali T628 between the 5420 and the 5430 actually had an increase in die size despite the process shrink, but this is due to a big increase in the cache sizes.

Samsung regards their 20nm node as very short-lived and the 5430 and 5433 look to be the only high volume chips that will be coming out on the process as their attention is focused on shipping 14nm FinFET devices in the next few months. In fact at the Samsung Investor Forum 2014 they announced mass production of a new high-end SoC has already begun mid-November and will be ramping up to full volume in early 2015. I suspect this to be the Exynos 7420 as that is the successor SoC to the 5433.

All in all, the argument that this 20nm chip should be more power efficient than the competitors' 28nm is not completely factual and doesn't seem to hold up in practice. The process still seems young and unoptimized compared to what TSMC offers on 28nm.

Before we get to the performance and power figures, I'm handing things over to Ryan as we take a look at the architectural changes, starting with an analysis of the Cortex A53.

Note 4 with Exynos 5433 - An Overview Cortex A53 - Architecture
Comments Locked

135 Comments

View All Comments

  • toyotabedzrock - Tuesday, February 10, 2015 - link

    Dropping the browser tests is just stupid squared and wastes the opportunity to have Google and arm fix the inconsistency issue!

    Also your performance table for the a57 has an error for the PNG Comp ST.
  • toyotabedzrock - Tuesday, February 10, 2015 - link

    To me it is clear why arm has a new core coming. The a57 was not designed to do 64bit well. If the system uses only 64bit apps it might get bottlenecked.
  • lopri - Tuesday, February 10, 2015 - link

    (pg. 6)

    BaseMark OS II Energy Efficiency test makes no sense to me. I get that perf/watt factor looks worse on the 5433, but why does the test consume more energy when run on big cores only, compared to when run on all 8 cores?

    You have explained the performance degration part, but I am not sure whether you mentioned the reduced energy consumption part running 8 cores compared to 4 (big) cores. Running on 8 cores consume a little more than running on 4 LITTLE cores.

    I wonder if that benchmark is trust-worthy?
  • Gigaplex - Tuesday, February 10, 2015 - link

    Read through it again. They do comment on that and claim that the switching between big and little cores is likely adding so much overhead they're better off just staying on the big cores.
  • Gigaplex - Tuesday, February 10, 2015 - link

    If only there was an edit option... My original comment referred to reduced perf/watt in "8 core" mode rather than just the energy consumption.

    As to why running on all 8 uses less than only the big 4? It doesn't use them simultaneously, it can only use the big or the little, and it switches between them dynamically. Since the big cores get to idle/sleep when it's running on the little cores, it uses less power overall. This is the whole point of the big.LITTLE design. It's just a shame it doesn't actually work from a performance point of view.
  • lopri - Tuesday, February 10, 2015 - link

    So that means the benchmark is limited to 4-threads, I assume? Stating that would have helped me understand it.
  • Andrei Frumusanu - Wednesday, February 11, 2015 - link

    I explained the nature of the XML test and that it was 3 threads:

    "The test is a good candidate because it offers a scaling load with three threads that put both a high load on some cores and let others exercise their power management states at the same time, definitely behavior you would see in day-to-day applications."
  • MikhailT - Tuesday, February 10, 2015 - link

    Is it me, or does anybody else wish ARM would change their naming scheme?

    This single quote took 4-5 re-reads for me:

    "As far as performance goes, ARM tells us that A53 can match A9 in performance at equivalent clock speeds. Given just how fast A9 is and just how small A53 is, to be able to match A9’s performance while undercutting it in die size and power consumption in this manner would be a feather in ARM’s cap, and an impressive follow-up to the A8-like performance of A7."
  • lopri - Tuesday, February 10, 2015 - link

    Is the "special" frequency only available to ALU-heavy loads on Exynos really a special case? I noticed a similar behavior on S800, too. Adreno 320 should do max 450 MHz, but 99% of the time I see it maxes at 320 MHz. I thought something must be wrong at first, but when I ran benchmarks or other compute-heavy demos, it finally showed me 450 MHz. (not talking about cheating, obviously) It seems like a wide-spread practice.
  • zodiacfml - Tuesday, February 10, 2015 - link

    Not surprising outcome. All they need is produce this chip for the sheer number of cores which is popular in some but large markets. The chip is supposed to be good for better efficiency but we didn't see that.

Log in

Don't have an account? Sign up now