Conclusion

Samsung's System LSI business had a rough two years as their decision to go with ARM's big.LITTLE SoC architecture cost them a lot of market share, thanks in part to immature software and implementation issues. Usually in the past Samsung's own Exynos SoCs were regarded as the more performant variant given the choice of Qualcomm's Scorpion CPU based solutions. This changed as the Exynos 5410 came out with a malfunctioning CCI, crippling the chip to the most battery inefficient operating mode of big.LITTLE.

Qualcomm's Snapdragon 800 capitalized on the new 28nm HPM manufacturing process, along with the advantage of being able to offer an integrated modem solution, and has dominated the market ever since. It's only now that Samsung is able to recover as the new 20nm manufacturing process allowed them to catch up and start to offer their own Exynos SoC in more variants of its products, a trend that I expect to continue in Samsung's future lineup.

The Note 4 with the Exynos 5433 is the first of a new generation, taking advantage of ARM's new ARMv8 cores. On the CPU side, there's no contest. The A53 and A57 architectures don't hold back in terms of performance, and routinely outperform the Snapdragon 805 by a considerable amount. This gap could even widen as the ecosystem adopts ARMv8 native applications and if Samsung decides to update the phone's software to an AArch64 stack. I still think the A57 is a tad too power hungry in this device, but as long as thermal management is able keep the phone's temperatures in reign, which it seems that it does, there's no real disadvantage to running them at such high clocks. The question is whether efficiency is where it should be. ARM promises that we'll be seeing much improved numbers in the future as licensees get more experience with the IP, something which we're looking forward to test.

On the GPU side, things are not as clear. The Mali T760 made a lot of advancements towards trying to catch up with the Adreno 420 but stopped just short of achieving that, leaving the Qualcomm chip a very small advantage. I still find it surprising that the Mali T760 is able to keep up at all while having only half the available memory bandwidth; things will get interesting once LPDDR4 devices come in the next few months to equalize things again between competing SoCs. Also ARM surprised us with quite a boost of GPU driver efficiency, something I didn't expect and which may have real-world performance implications that we might not see in our synthetic benchmarks.

It's the battery life aspect that I think it's most disappointing to me. It's a pity that Samsung didn't go through more effort to optimize the software stack in this regard. When you are able to take advantage of vertical integration and posses multi-billion dollar semiconductor manufacturing plants with what seem to be talented SoC design teams, it's critical to not skimp out on software. I might be a bit harsh here given that the battery disadvantage was just 12% in our web-browsing test and might be less in real-world usage, and the GPU battery efficiency seems neck-and-neck. Still, it's the wasted potential from a purely technical perspective that is disheartening.

This is definitely a wake-up call to ARM and their partners as well. If the software situation of big.LITTLE isn't improved soon I'm fearing that ship will have sailed away, as both Samsung and Qualcomm are working on their custom ARMv8 cores.

So the question is, is it still worth to try and get an Exynos variant over the Snapdragon one? I definitely think so. In everyday usage the Exynos variant is faster. The small battery disadvantage is more than outweighed by the increased performance of the new ARM cores.

Battery Life & Charge Time
Comments Locked

135 Comments

View All Comments

  • toyotabedzrock - Tuesday, February 10, 2015 - link

    Dropping the browser tests is just stupid squared and wastes the opportunity to have Google and arm fix the inconsistency issue!

    Also your performance table for the a57 has an error for the PNG Comp ST.
  • toyotabedzrock - Tuesday, February 10, 2015 - link

    To me it is clear why arm has a new core coming. The a57 was not designed to do 64bit well. If the system uses only 64bit apps it might get bottlenecked.
  • lopri - Tuesday, February 10, 2015 - link

    (pg. 6)

    BaseMark OS II Energy Efficiency test makes no sense to me. I get that perf/watt factor looks worse on the 5433, but why does the test consume more energy when run on big cores only, compared to when run on all 8 cores?

    You have explained the performance degration part, but I am not sure whether you mentioned the reduced energy consumption part running 8 cores compared to 4 (big) cores. Running on 8 cores consume a little more than running on 4 LITTLE cores.

    I wonder if that benchmark is trust-worthy?
  • Gigaplex - Tuesday, February 10, 2015 - link

    Read through it again. They do comment on that and claim that the switching between big and little cores is likely adding so much overhead they're better off just staying on the big cores.
  • Gigaplex - Tuesday, February 10, 2015 - link

    If only there was an edit option... My original comment referred to reduced perf/watt in "8 core" mode rather than just the energy consumption.

    As to why running on all 8 uses less than only the big 4? It doesn't use them simultaneously, it can only use the big or the little, and it switches between them dynamically. Since the big cores get to idle/sleep when it's running on the little cores, it uses less power overall. This is the whole point of the big.LITTLE design. It's just a shame it doesn't actually work from a performance point of view.
  • lopri - Tuesday, February 10, 2015 - link

    So that means the benchmark is limited to 4-threads, I assume? Stating that would have helped me understand it.
  • Andrei Frumusanu - Wednesday, February 11, 2015 - link

    I explained the nature of the XML test and that it was 3 threads:

    "The test is a good candidate because it offers a scaling load with three threads that put both a high load on some cores and let others exercise their power management states at the same time, definitely behavior you would see in day-to-day applications."
  • MikhailT - Tuesday, February 10, 2015 - link

    Is it me, or does anybody else wish ARM would change their naming scheme?

    This single quote took 4-5 re-reads for me:

    "As far as performance goes, ARM tells us that A53 can match A9 in performance at equivalent clock speeds. Given just how fast A9 is and just how small A53 is, to be able to match A9’s performance while undercutting it in die size and power consumption in this manner would be a feather in ARM’s cap, and an impressive follow-up to the A8-like performance of A7."
  • lopri - Tuesday, February 10, 2015 - link

    Is the "special" frequency only available to ALU-heavy loads on Exynos really a special case? I noticed a similar behavior on S800, too. Adreno 320 should do max 450 MHz, but 99% of the time I see it maxes at 320 MHz. I thought something must be wrong at first, but when I ran benchmarks or other compute-heavy demos, it finally showed me 450 MHz. (not talking about cheating, obviously) It seems like a wide-spread practice.
  • zodiacfml - Tuesday, February 10, 2015 - link

    Not surprising outcome. All they need is produce this chip for the sheer number of cores which is popular in some but large markets. The chip is supposed to be good for better efficiency but we didn't see that.

Log in

Don't have an account? Sign up now