Analyzing Apple A9’s SoC

For Apple’s engineering teams, I’m still undecided whether Apple’s tick-tock style of SoC development is a curse or a blessing. That Apple more strongly invests in SoC development for the iPhone-S processors is a blessing, as it gives the engineering teams a bit of time to breathe and to plan out major architecture shifts over two years. On the other hand even for non-S iPhones the engineering teams still need to deliver an amazing product – iPhone 5 and iPhone 6 were no slouches – so I’m not sure how much of a breather the engineering teams actually get. They may have two years to space some of their transitions, but they must continue to deliver a top-tier SoC every year.

When Apple released the A7 SoC alongside the iPhone 5s in 2013, they pulled off something that rocked the SoC industry. The Cyclone CPU core all but came out of nowhere, beating previous estimates for the first ARMv8 64-bit phone SoCs (by any vendor) by roughly a year. As a result the 64-bit transition became a lot more important a lot sooner than anyone was expecting, and to this date some of Apple’s SoC competitors are still trying to recover from the shock of having to scramble to go 64-bit sooner than they planned.

As for Apple’s engineering teams, that we’re on another iPhone-S year means that there’s quite a bit of pressure to pull off a repeat performance, and I suspect that pressure is internal as much as it is external. A7 brought with it Cyclone, a CPU that was not only 64-bit, but thanks to its exceptional (for a mobile CPU) issue width of 6 micro-ops, brought with it a huge jump in single-threaded performance. At the same time A7 also saw Apple transition to PowerVR’s Rogue architecture GPUs (PowerVR G6xxx), which was a similar jump in GPU capabilities and performance, along with setting the stage for Apple’s proprietary, low-level Metal graphics API. A7 was everything Apple needed and more, cementing Apple’s place as a top-tier SoC designer and laying the groundwork for the performance advantage Apple has enjoyed over the past two years.


Apple's A9 SoC (Image Courtesy iFixit)

For 2015 then and for their latest iPhone-S, Apple has once again turned out a new SoC. This brings us to the A9, and in a year with an iPhone-S and almost no external design changes to speak of, all eyes are on what Apple has devised to go inside their phones.

Apple A9 vs A8 SoCs
  Apple A9 (2015) Apple A8 (2014)
Manufacturing Process TSMC 16nm FinFET /
Samsung 14nm FinFET
TSMC 20nm HKMG
Die Size 104.5mm2/96mm2 89mm2
CPU 2 x Apple Twister
ARMv8 64-bit cores
2 x Apple Typhoon
ARMv8 64-bit cores
GPU IMG PowerVR GT7600 IMG PowerVR GX6450

Finally Fabbed with FinFETs

When the iPhone 6 launched I spent some time talking about how for the A8 SoC, Apple finally reached the point where they were building SoCs on a leading edge manufacturing process. That process at the time being TSMC’s 20nm planar process. The fact that Apple was building on a leading edge process was important for two reasons: 1) It was a strong indicator of how serious they were about SoC production and how much they were willing to spend in order to achieve the best possible performance, and 2) it meant that Apple had finally completely climbed the ladder (so to speak) and wouldn’t be able to “exceed the curve” just by catching up on manufacturing technology. Post-A8, Apple can only improve their performance by improving their architecture, building bigger chips, and finally, jumping to newer manufacturing processes as they become available.

What wasn’t said at the time – due to the fact that no one outside the fabs was quite sure – was where Apple would go in 2015. Had you asked me in 2014 what I would expect the A9 to be fabbed on, I would have suggested another round on TSMC’s 20nm process now that Apple had caught up to the leading edge. However much to my surprise (and to a steak dinner I lost a bet on) Samsung was able to get their 14nm FinFET process yielding well enough to supply Exynos 7420 in bulk for the Galaxy S6 launch nearly 6 months ago.

The fact that one of the contract fabs was able to get a FinFET process up and yielding well enough for volume production before the very end of 2015 has definitely changed the picture for what Apple can do. Once again they get to jump to a new manufacturing process for their next SoC, though this time by staying on the leading edge.


Planar vs. FinFET (3D) Transistors (via Intel)

While I’m not going to go into the physics of FinFET in depth here – we have some great articles on that already – I do want to quickly touch upon why this is so important. 14nm (and 16nm) FinFET isn’t just a new manufacturing node, but it’s part of a broader change in how transistors are manufactured. Simply put, FinFET (aka 3D transistors) are a long in development technology meant to help transistors scale to increasingly small scales, on the order of dozens of atoms or less.

FinFET transistors are necessary because as transistors get smaller their leakage (wasted power) goes up, and without FinFETs leakage would spiral out of control. In fact that’s exactly what happened on the 20nm nodes from Samsung and TSMC; both companies thought the leakage of planar transistors could be adequately controlled at 20nm, only for leakage to be a bigger problem than they expected. Due in large part to this reason, the 20nm SoCs released over the last 18 months have more often than not struggled with power consumption and heat, especially at higher clockspeeds. Apple is something of the exception here, with the 20nm A8 proving to be a solid SoC, thanks in part to their wide CPU design allowing them to achieve good performance without using high clockspeeds that would exacerbate the problem.

That said, while Apple managed to handle 20nm well enough, they were still ultimately at the mercy of a subpar process. The 14nm/16nm FinFET processes are what 20nm should have been all along, with the use of FinFETs drastically cutting down on leakage and reducing operating voltages –  and now that FinFETs are here Apple no longer has to be as conservative as they were with A8. What that gives Apple then is a chance to push the envelope much harder on clockspeeds, taking their already wide CPU designs and turning up the clockspeeds as well.

Introduction and Design Analyzing A9: Dual Sourcing & Die Size
Comments Locked

531 Comments

View All Comments

  • akdj - Tuesday, November 3, 2015 - link

    Hi Josh and Ryan,
    Many, MANY thanks for the insight and in depth review. I've just finished my second read (it was late last night I noticed the review and read through) and your experiences mimic mine. With a single exception. I'm a business owner, have been over 26 years now and use phones for the business and personal. I also outfit employees so I have a chance to stay 'ambidextrous', keeping a foot in Android, rest of the body in iOS ... But some things I do enjoy on both my older Note 4, & newer S6. No intrigue with the Note 5 other than its SoC, speed of internal storage and design over my older N4. As an S6 Edge owner I'm well aware of the speeds uninstalling, installing apps, opening them, the 'feel" of the newer 2015 Sammy phones as well as the exceptional speed of the Exynos processor. That said, you made a remark I don't quite agree with
    "The second generation of TouchID isn’t quite as life-changing, but it’s a welcome improvement nonetheless. Again, this is a case where there was friction in the user experience that wasn’t really noticeable until it was gone. Obviously, Apple is no longer the only one at this level of user experience with fingerprint scanners but they are keeping up."
    I'm not sure which phone you've found that parities the iPhone 6s/6+s for FP register. As it's certainly not the S6/S6+/Note 5 or LG (I've got one of their freebie 8" LG tabs from AT&T runnin LP). I'm hoping anyway lol. My silly S6 is just finally starting to correctly register 50% of the time with the 5.1 update. The previous six months I was lucky to have my thumb recognized 1 of 5 times. And it's registered as FOUR different 'fingers'.
    I'm also an owner of the 6+s and even checking the time or setting an Altman, turning the flashlight on, etc...it's so damn quick, I'm automatically on the home screen. It's ...pardon the pun, lightning fast and immediate. I guess I'm curious as to which OEM Apple is keeping up with as I had the 5s and 6+ standard as well. The Note 4 is a useless implementation and the S6, while better is a LONG way off from 'keeping up with...' Apple again IMHO. Genuinely curious as to the OEM making better or even similar performing and 'protective' measures than Apple.
    Other than that silly nitpick, I agree completely and haven't enjoyed an iPhone as much since the iPhone 4 and its HiDPI display. If I recall, another 'first', wasn't it? (Like the 5s FP reader, actually able to 'read an FP ;)). Maybe it's my aging mid 40s eyes but the higher resolutions and larger displays have literally kept pace with my deteriorating vision!

    Once again, many thanks for the perfectly balanced nerd/everyday 'Joe n Jane' subjective review of 'real world use'. Always refreshing to hear... I mean read your reviews, un-rushed to keep up with the herds the day after release or a week post NDA, minus the carrying around and using ...or simple resolution, 100% 'chart n number' reviews.
    Loved it. And I'm loving the iPhone 6s+. It's truly a computer in my pocket. I know you briefly touched on the expanded radios both WiFi and LTE, another maybe at first unnoticeable unless ...again as you mention an iCloud restoration of significant size, but a HUGE end user boon. These are incredibly fast, seemingly more 'stable' in 5MHz mode. (Maybe a bad word, stable but hard to put my finger on it, as older modems on the iPhone with AC/5MHz or is it GHz? Now I'm lost. This one seems faster, more efficient and stable than earlier versions )
    My wife has an identical iPhone 6+s. 128. Hers is Sammy mine TSMC. Neither has shown any significance in battery draw than the other. Mine measures 2238/4437 in GBench, hers 2242/4405 after six runs ...that's the mean. Power and efficiency are nearly identical after a weekend at our cabin we both had single digit %'s and used them nearly the same the entire weekend.
    Very VERY great phone
    J
  • MarcSP - Wednesday, November 4, 2015 - link

    Thanks for your explanation :-). Still, I think there must be something else. I mean, most Samsung phones also use amoled and did not get such a low score in browsing, and the Snapdragon 800 is not a very slow SoC. Even today there are many low and even middle-end phones sold with weaker SoC.
  • zodiacfml - Wednesday, November 4, 2015 - link

    i dont like apple but their engineering and design is very impressive. i wonder how the new cpu compares to a Core M.
  • tharun118 - Wednesday, November 4, 2015 - link

    The best phone? Seriously? I've seen a lot of people saying iPhone as THE BEST phone, but AnandTech? Come on.. I believe that there can never be a "THE BEST phone". Yes, iPhone 6+ has a very good SoC, reliable camera, 3D Touch, etc, but like every flagship phone, there are compromises and drawbacks. For me, I choose a smartphone based on 4 major aspects. First, the screen. I know Apple lovers always defend their 320+ PPI screen saying that's more than enough and they don't need anything more. But the truth is, they are far behind Samsung and that will likely change in 7 or 7s. Second, the camera, this is purely subjective, there are people who'd prefer photos from an iPhone and there are people who'd prefer photos from 2015 android flagships (S6, Note 5, G4, 6P, etc). Third, battery and performance: Apple is better here on a tiny margin due their vertical integration. I think Android phones will never reach the exact smoothness in performance and efficiency in power consumption of the latest iPhone, due to fragmentation. Fourth, customisation: No comments here, but I understand there are lots of people who'd happily use their phone the way their manufacture tells them to. I'm definitely not one among them. I try to balance all these 4 aspects and my choice this year was a Galaxy S6. Of course, there are bonus features such as, wireless charging, quick charge (very useful), IR port, etc. But still, I wouldn't call S6 as THE BEST. Neither is an iPhone 6+.
  • Vincog - Wednesday, November 4, 2015 - link

    I got iphone 6S with samsung chip here, and my battery will decrease 1% every 5 minute in use or 1% every 15 minute standby... ( take a note all background refresh off, location off, only hey siri on ) ..Even my iphone 5s is more better than this one!! 😭😭😭😭
  • Tigran - Wednesday, November 4, 2015 - link

    ***
    Looking at GFXBench, which is an infinite loop of the T-Rex on-screen benchmark to approximate intensive video gaming we see that the iPhone 6s doesn’t last very long either, but the performance throughout the test is incredible. Due to 1334x750 display resolution and strong GPU, the iPhone 6s manages to last the entire test without any notable throttling, and effectively pegged at the refresh rate of the display.
    ***
    Why V-Sync (which limits T-Rex on-screen by 60 fps) is ignored? And what about this throttling evidence (by 20-22% in GFXBench off-screen):
    http://forums.anandtech.com/showpost.php?p=3772777...
  • blackcrayon - Wednesday, November 4, 2015 - link

    They mentioned that the 6s+ throttled slightly due to the higher resolution, so it stands to reason that the 6 would also throttle when rendering a higher resolution offscreen. But it's nowhere near the throttling of any of the competitors, games are still remaining playable throughout a reasonable gaming session.
  • Tigran - Wednesday, November 4, 2015 - link

    You don't get it. It's not about resolution - it's about T-Rex on-screen which limits performance to 60 fps. Without this limit iPhone 6s performance would be much higher, so it is incorrect to mention T-Rex on-screen discussing iPhone 6s throttling. If there is throttling, it can decrease from 100 to 70 fps, but you will see only 60 fps during the whole test - because of V-Sync. And there is evidence off throttling in Manhattan (which doesn't reach 60 fps limit) actually - see my link above (20-22% throttling). I can add that popular Russian laboratory (overclockers.ru) tested throttling of iPhone 6s via Basemark Metal, and they found enormous throttling there - from 911 down to 525 (74%).
  • zhiliangh - Wednesday, November 4, 2015 - link

    Thank you! I have been waiting for your review before upgrading any phone this year. This is a must-read iphone review.
  • Spunjji - Wednesday, November 4, 2015 - link

    I have a bit of a gripe regarding the conclusions in the camera section. The LG G4 is clearly providing better images at night than the iPhone 6s and 6s Plus - granted there is "less motion blur" in the Apple images, but they're also quite clearly underexposed by at least a stop. It therefore seems odd to conclude that a product which produces grainier, less-detailed and murkier images than the competition is better. You could produce similarly non-blurry results on the G4 by adjusting exposure compensation and then have the best of both worlds!

Log in

Don't have an account? Sign up now