Productizing Banias - Introducing the Pentium-M

For those of you that still believe microprocessor architectures are decided upon by marketing folks first and then handed off to engineers to make, Banias should be all the proof necessary to convince you otherwise.


Intel's Pentium M Processor

The core is clearly not designed for high clock speeds and thus provided Intel's marketing with a very difficult job. How do you explain to end users that there's a new mobile processor on the market that is faster than a Pentium 4 clock for clock, and because of its high IPC it is actually faster than most of the higher clocked Pentium 4s?

Intel's marketing team came up with the idea of moving the focus away from the processor itself, and over to the entire mobile package - CPU, chipset and wireless adapter. Intel branded this package of three components as Centrino, with hopes that end users would walk into a store and request a Centrino laptop, instead of a xxGHz laptop.

While we'll talk about the other parts of the Centrino architecture what we've been focusing thus far on has been the CPU itself, which has been going by the codename Banias for years now. The final name of Banias is the Pentium-M and today is available in 6 different flavors; each of the Pentium-M chips have the exact same features, cache sizes, etc… and only differ according to operating frequency and voltages:

-
Frequency
Performance/Battery Mode
Voltage
Thermal Design Power
Pentium-M 1.60
1.60GHz/600MHz
1.48V/0.96V
24.5W
Pentium-M 1.50
1.50GHz/600MHz
1.48V/0.96V
24.5W
Pentium-M 1.40
1.40GHz/600MHz
1.48V/0.96V
24.5W
Pentium-M 1.30
1.30GHz/600MHz
1.39V/0.96V
22.0W
Low Voltage Pentium-M 1.10
1.10GHz/600MHz
1.18V/0.96V
12W
Ultra Low Voltage Pentium-M 900
900MHz/600MHz
1.00V/0.84V
7W

As you can gather by the table above, the Pentium-M features the same SpeedStep technology that we've seen from previous Intel CPUs. On battery power, the CPU will drop to a noticeably lower clock speed (600MHz in the case of all first generation Pentium-M CPUs). The difference between the Pentium-M's power management and other mobile Intel CPUs is mainly in the way sleep states are handled; whereas conventional mobile CPUs put various parts of the core to sleep when idle, the Pentium-M starts out in sleep mode and parts of it are woken up when necessary. Once again, the downside is that it takes a few clock cycles longer to get the appropriate units to wake up, but the power savings are non-trivial, and thus enable higher performance in the end by allowing for features like a 1MB on-die L2 cache or a higher clock speed.

Hitting those high clocks Power Saving Chipsets - 855PM & 855GM
Comments Locked

8 Comments

View All Comments

  • zigCorsair - Wednesday, July 14, 2004 - link

    I thought it was a very informative article. Of course, I'll be upset if it's biased, but being a master's student in CS, many of the exact details I was looking for were in here, and for that I say thank you.
  • Zebo - Monday, May 10, 2004 - link

    I don't see whats so impressive. An athlon mobile 2600/2800 xp 35W version, which runs ~2000Mhz will kill these. To little to late.
  • Anonymous User - Wednesday, September 10, 2003 - link

    how the hell could this be a balanced and informative article when in their own analysis they ignored their own data?

    There is no mention of the anamolous nature of the BAPCO test..absolutely NOTHING...

    Its enough for me to question the competency of this site...and even to the point where I suspect that certain unethical compromises have been made.
  • Anonymous User - Wednesday, September 10, 2003 - link

    Yeah, I agree with Sprockkets... same reason Athlon XP loses to the P4 in this benchmark... someone was trying to make the P4 look better, and everything else look worse. Now all the sudden, this new great CPU is getting it's but kicked because of all the P4 optimizations (and probably non-P4 deoptomizations).
  • sprockkets - Tuesday, September 9, 2003 - link

    I wonder why the P4 trashes the PM on Content Creation Performance and nothing else? Maybe it's the stupid skewing toward the P4. Why else would it lose here and kick butt everywhere else? www.theinquirer.net has an article which brought this to readers attention.
  • Anonymous User - Thursday, August 21, 2003 - link

    "Without a trace cache, the design team was forced to develop a more accurate branch predictor unit for the Banias core. Although beyond the scope of this article, Banias was outfitted with a branch predictor significantly superior to what was in the Pentium III. The end result was a reduction of mispredicted branches by around 20%."

    Wouldn't he mean that the branch predictor was superior to the P4?
  • Anonymous User - Tuesday, August 19, 2003 - link

    looks good
  • Anonymous User - Friday, August 8, 2003 - link

    An outstanding well balanced article, after this read I feel I really know about Centrino. Thanks

Log in

Don't have an account? Sign up now