Conclusion

Prescott was built to adapt to the typical problems that made it hard to run x86 programs quickly: branches, dependencies, lots of memory and ADD operations. However, in order to do so, complex logic was used, which increased leakage power quickly. The wire delay problem and dependency problem were only solved by sacrificing a lot of energy. The combination of LVS double-pumped ALUs, tons of new features and 64 bit together created an avalanche of leaking logic. The result is an innovative architecture crushed into a thermal wall.

But the Prescott failure, the exploding leakage power and wire delay don't mean automatically that the single core CPUs have no future. Power leakage can be contained by introducing high-K materials and SOI. Wire delay has been solved by using repeaters - at the cost of some extra power - and Cu interconnects. Dual core is not a magical solution that is going to solve all the problems that Prescott and other modern CPU face.

The Prescott failure only tells us that right now, the ultra deep pipelined CPU is not the best solution. Intel went too quickly, too deep, and although many ingenious tricks were implemented to make the Prescott a real powerhouse, all those tricks together backfired with high leakage and dynamic power loss.

In the next article, we investigate what dual core technology can really bring us, besides a lot of hype, "paradigm shift" slogans everywhere and "much smoother system" claims.


References

[1] An In-Depth Look at Computer Performance Growth
CHALMERS UNIVERSITY OF TECHNOLOGY, Department of Computer Engineering, Göteborg 2004
http://www.ce.chalmers.se/~warg/papers/performancegrowth_tr-2004-9.pdf

[2] Intel Whitefield uncovered, The Register
http://www.theregister.co.uk/2004/05/01/intel_whitefield_uncovered/

[3] Implementing Power Management IP forDynamic and Static Power Reduction in Configurable Microprocessors using the Galaxy Design Platform at 130nm
Dan Hillman, Virtual Silicon
John Wei, Tensilica
http://www.tensilica.com/hillman_slides.pdf

[4] Leakage Power Modelling and Leakage Power Modelling and Minimization
Massoud Pedram
University of Southern California , Dept. of EE-Systems
http://atrak.usc.edu/~massoud/Papers/pedram-tutorial-iccad04.pdf

[5] Gigascale Integration-Challenges and Opportunities
By Shekhar Borkar
Intel Fellow, Director, Circuit Research
http://www.intel.com/research/mrl/research/circuit.htm
http://www.intel.com/cd/ids/developer/asmo-na/eng/strategy/182440.htm?page=1

[6] SUN Niagra Demo
http://www.sun.com/aboutsun/media/presskits/networkcomputing05q1/

[7] LVS Technology for the Intel® Pentium® 4 Processor on 90nm Technology
http://www.intel.com/technology/itj/2004/volume08issue01/art04_lvs_technology/p01_abstract.htm


Other Sources:

  1. Intel Silicon Innovation To Shape Direction Of The Digital World
    Multi-Core Processors, FALL IDF 2004
    http://www.intel.com/pressroom/archive/releases/20040907corp.htm
  2. Pentium 4 processor at 4.7 GHz, FALL IDF 2002
    http://www.intel.com/pressroom/archive/releases/20020909corp.htm
  3. Intel Developer Forum, Spring 2002
    Louis Burns Keynote, Netburst architecture scales up to 10 GHz.
    http://www.intel.com/pressroom/archive/speeches/burns20020227.htm
  4. The Free Lunch Is Over: A Fundamental Turn Toward Concurrency in Software
    By Herb Sutter
    http://www.gotw.ca/publications/concurrency-ddj.htm
  5. Illinois researchers create world's fastest transistor ... again
    http://www.news.uiuc.edu/scitips/03/1106feng.html

CHAPTER 4 (con't)
Comments Locked

65 Comments

View All Comments

  • Cybercat - Tuesday, February 8, 2005 - link

    It's sad that software isn't moving in the direction of AMD's architectural emphasis, and instead heading toward a more media-oriented design. As said above, AMD is better at keeping in mind the future of their processors, by keeping up with low-leakage technologies (E0 stepping being a good example).

    I do think though that the whole dual-core thing is a gimmick. I certainly won't be buying into it any time soon.
  • fitten - Tuesday, February 8, 2005 - link

    Good read! I'm looking forward to the next installment.
  • reactor - Tuesday, February 8, 2005 - link

    Half of it went over my head, but was none the less very interesting. The prescott chapter was very informative.

    Well Done.
  • Rand - Tuesday, February 8, 2005 - link

    I'm still getting accustomed to seeing your byline on articles published on AnandTech, rather then AcesHardware :)

    As always, it's an excellent and fascinating read.

  • Regs - Tuesday, February 8, 2005 - link

    Pentium-M can't*
  • Regs - Tuesday, February 8, 2005 - link

    Thanks to this article I now know why the PM can reach faster clock cycles, and why AMD is still behind in multimedia tasks like video encoding.

    Awesome article! I see some one has been lurking the forums.
  • FinalFantasy - Tuesday, February 8, 2005 - link

    Nice article!
  • bersl2 - Tuesday, February 8, 2005 - link

    Yay! I get to use some of the stuff from my CS2110 class!
  • Gnoad - Tuesday, February 8, 2005 - link

    This is one hell of an in depth article! Great job!
  • WooDaddy - Tuesday, February 8, 2005 - link

    I have to say this is the most technical article from Anandtech I have read. Good thing I'm a hardware engineer... I think it could be a difficult read for someone with even average understand of microprocessor development.

    Good though.

Log in

Don't have an account? Sign up now