In their own side event this week, AMD invited select members of the press and analysts to come and discuss the next layer of Zen details. In this piece, we’re discussing the microarchitecture announcements that were made, as well as a look to see how this compares to previous generations of AMD core designs.

AMD Zen

Prediction, Decode, Queues and Execution

First up, let’s dive right into the block diagram as shown:

If we focus purely on the left to start, we can see most of the high-level microarchitecture details including basic caches, the new inclusion of an op-cache, some details about decoders and dispatch, scheduler arrangements, execution ports and load/store arrangements.  A number of slides later in the presentation talk about cache bandwidth.

Firstly, one of the bigger deviations from previous AMD microarchitecture designs is the presence of a micro-op cache (it might be worth noting that these slides sometimes say op when it means micro-op, creating a little confusion). AMD’s Bulldozer design did not have an operation cache, requiring it to fetch details from other caches to implement frequently used micro-ops. Intel has been implementing a similar arrangement for several generations to great effect (some put it as a major stepping stone for Conroe), so to see one here is quite promising for AMD. We weren’t told the scale or extent of this buffer, and AMD will perhaps give that information in due course.

Aside from the as-expected ‘branch predictor enhancements’, which are as vague as they sound, AMD has not disclosed the decoder arrangements in Zen at this time, but has listed that they can decode four instructions per cycle to feed into the operations queue. This queue, with the help of the op-cache, can deliver 6 ops/cycle to the schedulers. The reasons behind the queue being able to dispatch more per cycle is if the decoder can supply an instruction which then falls into two micro-ops (which makes the instruction vs micro-op definitions even muddier). Nevertheless, this micro-op queue helps feed the separate integer and floating point segments of the CPU. Unlike Intel who uses a combined scheduler for INT/FP, AMD’s diagram suggests that they will remain separate with their own schedulers at this time.

The INT side of the core will funnel the ALU operations as well as the AGU/load and store ops. The load/store units can perform 2 16-Byte loads and one 16-Byte store per cycle, making use of the 32 KB 8-way set associative write-back L1 Data cache. AMD has explicitly made this a write back cache rather than the write through cache we saw in Bulldozer that was a source of a lot of idle time in particular code paths. AMD is also stating that the load/stores will have lower latency within the caches, but has not explained to what extent they have improved.

The FP side of the core will afford two multiply ports and two ADD ports, which should allow for two joined FMAC operations or one 256-bit AVX per cycle. The combination of the INT and FP segments means that AMD is going for a wide core and looking to exploit a significant amount of instruction level parallelism. How much it will be able to depends on the caches and the reorder buffers – no real data on the buffers has been given at this time, except that the cores will have a +75% bigger instruction scheduler window for ordering operations and a +50% wider issue width for potential throughput. The wider cores, all other things being sufficient, will also allow AMD’s implementation of simultaneous multithreading to potentially take advantage of multiple threads with a linear and naturally low IPC.

Deciphering the New Cache Hierarchy: L1, 512 KB L2, 8 or 16 MB L3
Comments Locked

216 Comments

View All Comments

  • SunnyNW - Thursday, August 18, 2016 - link

    Looks like the early leak (AOTS) is more/less correct at least for the ES...at the presentation they had the 8c/16t part running at 3ghz.
  • StormyParis - Thursday, August 18, 2016 - link

    "Firstly,"
    I object bigly to that word.
  • psurge - Thursday, August 18, 2016 - link

    Ian - I think there's a mistake on the first page. As far as I know, AGUs do not perform loads and stores, they calculate load/store addresses. It strikes me as interesting that Zen can perform memory operations more quickly (3 per cycle) than it can generate addresses for them (2 per cycle, per the diagram).
  • KaarlisK - Thursday, August 18, 2016 - link

    "some put it as a major stepping stone for Conroe"
    Err, wasn't it Sandy Bridge?
  • MrSpadge - Thursday, August 18, 2016 - link

    Good catch, I think you're right.
  • silverblue - Thursday, August 18, 2016 - link

    I thought Conroe's ace was its four-issue front end; AMD didn't have that ability until Bulldozer.
  • farmergann - Thursday, August 18, 2016 - link

    Did anyone else notice AMD's Zen has 2x GCN CUs? LOL, this is how they managed to trump broadwell-e in Blender. Slightly dishonest since they didn't mention that, but I'm proud of them for showing some competitive fire!
  • Ian Cutress - Saturday, August 20, 2016 - link

    Source?
  • patel21 - Thursday, August 18, 2016 - link

    For me, a performance comparable to i3 skylake, with power requirements at max over 20% of i3, with a good gpu integrated and at around 70% of i3's price. And My boat will sail AMD....Ho yaa
  • iwod - Thursday, August 18, 2016 - link

    Assuming no major bugs, or I/O ( AMD has ALWAYS HAD problem with I/O controller ), Zen should do very well in Server market.
    Yes there is Pref/ Watt, but when you compound it in the Server power usage from other component, I think Zen should be favourable to many. ( Assuming Intel dont massively drop price )

Log in

Don't have an account? Sign up now