In their own side event this week, AMD invited select members of the press and analysts to come and discuss the next layer of Zen details. In this piece, we’re discussing the microarchitecture announcements that were made, as well as a look to see how this compares to previous generations of AMD core designs.

AMD Zen

Prediction, Decode, Queues and Execution

First up, let’s dive right into the block diagram as shown:

If we focus purely on the left to start, we can see most of the high-level microarchitecture details including basic caches, the new inclusion of an op-cache, some details about decoders and dispatch, scheduler arrangements, execution ports and load/store arrangements.  A number of slides later in the presentation talk about cache bandwidth.

Firstly, one of the bigger deviations from previous AMD microarchitecture designs is the presence of a micro-op cache (it might be worth noting that these slides sometimes say op when it means micro-op, creating a little confusion). AMD’s Bulldozer design did not have an operation cache, requiring it to fetch details from other caches to implement frequently used micro-ops. Intel has been implementing a similar arrangement for several generations to great effect (some put it as a major stepping stone for Conroe), so to see one here is quite promising for AMD. We weren’t told the scale or extent of this buffer, and AMD will perhaps give that information in due course.

Aside from the as-expected ‘branch predictor enhancements’, which are as vague as they sound, AMD has not disclosed the decoder arrangements in Zen at this time, but has listed that they can decode four instructions per cycle to feed into the operations queue. This queue, with the help of the op-cache, can deliver 6 ops/cycle to the schedulers. The reasons behind the queue being able to dispatch more per cycle is if the decoder can supply an instruction which then falls into two micro-ops (which makes the instruction vs micro-op definitions even muddier). Nevertheless, this micro-op queue helps feed the separate integer and floating point segments of the CPU. Unlike Intel who uses a combined scheduler for INT/FP, AMD’s diagram suggests that they will remain separate with their own schedulers at this time.

The INT side of the core will funnel the ALU operations as well as the AGU/load and store ops. The load/store units can perform 2 16-Byte loads and one 16-Byte store per cycle, making use of the 32 KB 8-way set associative write-back L1 Data cache. AMD has explicitly made this a write back cache rather than the write through cache we saw in Bulldozer that was a source of a lot of idle time in particular code paths. AMD is also stating that the load/stores will have lower latency within the caches, but has not explained to what extent they have improved.

The FP side of the core will afford two multiply ports and two ADD ports, which should allow for two joined FMAC operations or one 256-bit AVX per cycle. The combination of the INT and FP segments means that AMD is going for a wide core and looking to exploit a significant amount of instruction level parallelism. How much it will be able to depends on the caches and the reorder buffers – no real data on the buffers has been given at this time, except that the cores will have a +75% bigger instruction scheduler window for ordering operations and a +50% wider issue width for potential throughput. The wider cores, all other things being sufficient, will also allow AMD’s implementation of simultaneous multithreading to potentially take advantage of multiple threads with a linear and naturally low IPC.

Deciphering the New Cache Hierarchy: L1, 512 KB L2, 8 or 16 MB L3
Comments Locked

216 Comments

View All Comments

  • pikunsia - Friday, August 19, 2016 - link

    Yeah, but let's recall also Intel used AMD64 architecture (since the Opterons).
  • atomsymbol - Monday, August 22, 2016 - link

    It is true there's no significant difference between ZEN and Skylake.
  • bobhumplick - Thursday, August 30, 2018 - link

    of course they copied intel. its just a dual socket system shrunk to fit on a single die. and thats exactlyw hat they should have done. its exactly what intel should have done when they made the p4. they should have copied themselves. you dont try to push a new arch like p4 or fx when another desing is so dominate. you have to make the cpu fit the software not the other way around. also intel learned that lesson again with epyc (well i guess at the same time as the p4 thing). you have to have something that will run todays software, if you can add functionality to become the new standard in the future then great but it must fit todays software first and if the compeitions cpu fits todays software better then they will set the trends not you
  • Michael Bay - Thursday, August 18, 2016 - link

    WHEN
  • Cygni - Thursday, August 18, 2016 - link

    READ
  • Michael Bay - Friday, August 19, 2016 - link

    I WANT DATES
  • TheinsanegamerN - Friday, August 19, 2016 - link

    BETTER LOSE WEIGHT
  • Michael Bay - Saturday, August 20, 2016 - link

    YOU GOT ME
  • Kaboose - Thursday, August 18, 2016 - link

    "It’s worth nothing that AMD said"
    3rd to last paragraph on the final page, should probably read
    "it's worth NOTING that AMD said".
  • Ian Cutress - Thursday, August 18, 2016 - link

    Ha! That's 2am brain drain for you. Fixed :)

Log in

Don't have an account? Sign up now