AMD has been trailing Intel in the x86 performance space for years now. Ever since the introduction of the first Core 2 processors in 2006, AMD hasn't been able to recover and return to the heyday of the Athlon 64 and Athlon 64 X2. Instead the company has remained relevant by driving costs down and competing largely in the sub-$200 microprocessor space. AMD's ability to hold on was largely due to its more-cores-for-less strategy. Thanks to aggressive pricing on its triple and hexa-core parts, for users who needed tons of cores, AMD has been delivering a lot of value over the past couple of years.

Recently however Intel has been able to drive its per-core performance up with Sandy Bridge, where it's becoming increasingly difficult to recommend AMD alternatives with higher core counts. The heavily threaded desktop niche is tough to sell to, particularly when you force users to take a significant hit on single threaded performance in order to achieve value there. For a while now AMD has needed a brand new architecture, something that could lead to dominance in heavily threaded workloads while addressing its deficiencies in lightly threaded consumer workloads. After much waiting, we get that new architecture today. Bulldozer is here.

It's branded the AMD FX processor and it's only available in a single die configuration. Measuring 315mm2 and weighing in at around 2 billion transistors (that's nearly GPU-sized fellas), Bulldozer isn't that much smaller than existing 45nm 6-core Phenom II designs despite being built on Global Foundries' 32nm SOI process. Both die area and transistor count are up significantly over Sandy Bridge, which on Intel's 32nm HKMG process is only 995M transistors with a die size of 216mm2. This is one big chip.

CPU Specification Comparison
CPU Manufacturing Process Cores Transistor Count Die Size
AMD Bulldozer 8C 32nm 8 1.2B* 315mm2
AMD Thuban 6C 45nm 6 904M 346mm2
AMD Deneb 4C 45nm 4 758M 258mm2
Intel Gulftown 6C 32nm 6 1.17B 240mm2
Intel Nehalem/Bloomfield 4C 45nm 4 731M 263mm2
Intel Sandy Bridge 4C 32nm 4 995M 216mm2
Intel Lynnfield 4C 45nm 4 774M 296mm2
Intel Clarkdale 2C 32nm 2 384M 81mm2
Intel Sandy Bridge 2C (GT1) 32nm 2 504M 131mm2
Intel Sandy Bridge 2C (GT2) 32nm 2 624M 149mm2

 

Update: AMD originally told us Bulldozer was a 2B transistor chip. It has since told us that the 8C Bulldozer is actually 1.2B transistors. The die size is still accurate at 315mm2

Architecturally Bulldozer is a significant departure from anything we've ever seen before. We'll go into greater detail later on in this piece, but the building block in AMD's latest architecture is the Bulldozer module. Each module features two integer cores and a shared floating point core. FP hardware is larger and used less frequently in desktop (and server workloads), so AMD decided to share it between every two cores rather than offer a 1:1 ratio between int/fp cores on Bulldozer. AMD advertises Bulldozer based FX parts based on the number of integer cores. Thus a two module Bulldozer CPU, has four integer cores (and 2 FP cores) and is thus sold as a quad-core CPU. A four module Bulldozer part with eight integer cores is called an eight-core CPU. There are obvious implications from a performance standpoint, but we'll get to those shortly.


The FX Lineup

There are a total of 7 AMD FX CPUs that AMD is announcing today, although only four are slated for near-term availability.

CPU Specification Comparison
Processor Cores Clock Speed Max Turbo NB Clock L2 Cache TDP Price
AMD FX-8150 8 3.6GHz 4.2GHz 2.2GHz 8MB 125W $245
AMD FX-8120 8 3.1GHz 4.0GHz 2.2GHz 8MB 95W/125W $205
AMD FX-8100* 8 2.8GHz 3.7GHz 2GHz 8MB 95W N/A
AMD FX-6100 6 3.3GHz 3.9GHz 2GHz 6MB 95W $165
AMD FX-4170* 4 4.2GHz 4.3GHz 2.2GHz 4MB 125W N/A
AMD FX-B4150* 4 3.8GHz 4GHz 2.2GHz 4MB 95W N/A
AMD FX-4100 4 3.6GHz 3.8GHz 2GHz 4MB 95W $115
AMD Phenom II X6 1100T 6 3.2GHz 3.6GHz 2GHz 3MB 125W $190
AMD Phenom II X4 980 4 3.7GHz N/A 2GHz 2MB 125W $170

The FX-8150, 8120, 6100 and 4100 are what's launching today. The first digit in AMD's FX model numbers indicates the number of cores with the 8150 and 8120 boasting eight, while the 6100 only has six active integer cores (three Bulldozer modules). The FX-4100 features four integer cores. L2 cache scales with core count (2MB per module), while the L3 cache size remains fixed at 8MB regardless of SKU.

North Bridge and L3 cache frequency alternate between 2.0GHz and 2.2GHz depending on the part. TDPs range between 95W and 125W as well, with the FX-8120 being offered in both 125W and 95W versions.

There's only a single Bulldozer die. The 6 and 4 core versions simply feature cores disabled on the die. AMD insists this time around, core unlocking won't be possible on these harvested parts.

The huge gap in clock speed between the 8120 and 8150 are troubling. Typically we see linear frequency graduations but the fact that there's a 16% difference between these two SKUs seems to point to process problems limiting yield at higher frequencies—at least for the 8-core version.

Outside of the quad-core and hex-core Bulldozer pats, the only other FX processor able to exceed the 3.3GHz clock speed of the Phenom II X6 1100T is the 8150. And if you include quad-core Phenom II parts in the mix, only two Bulldozer parts ship at a higher stock frequency than the Phenom II X4 980. Granted Turbo Core will help push frequencies even higher, but these low base frequencies are troubling. For an architecture that was designed to scale to clock speeds 30% higher than its predecessor, Bulldozer doesn't seem to be coming anywhere close.

The entire FX lineup ships unlocked, which allows for some easy overclocking as you'll see soon enough.


Motherboard Compatibility

AMD is certifying its FX processors for use on Socket-AM3+ motherboards. Owners of standard AM3 motherboards may be out of luck, although motherboard manufacturers can choose to certify their boards for use with Bulldozer if they wish to do so. From AMD's perspective however, only AM3+ motherboards with BIOS/UEFI support for Bulldozer are officially supported.

All existing AM2/AM2+/AM3/AM3+ heatsinks should work with the FX processor; they simply need to be rated for the TDP of the processor you're looking to cool.

For this review, AMD supplied us with ASUS' Crosshair V Formula AM3+ motherboard based on AMD's 990FX chipset.

AMD does offer six 6Gbps SATA ports on its 990FX chipset, a significant upgrade from the two 6Gbps ports on Intel's 6-series chipsets. Unbuffered ECC memory is also supported for those who desire the added security, once again a feature not supported on Intel's consumer grade 6-series chipsets.

Despite AMD's trend towards releasing APUs with integrated GPUs (thus requiring a new socket), AMD insists that the AM3+ platform will live to see one more processor generation before it's retired.

AMD's Liquid CPU Cooling System

Alongside its new FX processors AMD is introducing its first branded liquid cooling system manufactured by Asetek.

AMD's cooling system is similar to other offerings from companies like Antec and Corsair. The system is self contained, you never have to worry about adding any more liquid to it.

Attach the cooling module to your CPU socket via a simple bracket, and affix the radiator to your case and you're good to go. The radiator is cooled via two 120mm fans, also included in the box.

AMD doesn't have an exact idea on pricing or availability of its liquid cooling solution, but I'm told to expect it to be around $100 once available. My sample actually arrived less than 12 hours ago, so expect a follow up with performance analysis later this week.

The Roadmap

For the first time in far too long, AMD is actually being very forthcoming about its future plans. At a recent tech day about Bulldozer, AMD laid out its CPU core roadmap through 2014. The code names are below:

Piledriver you already know about, it's at the heart of Trinity, which is the 2—4 core APU due out in early 2012. Piledriver will increase CPU core performance by around 10—15% over Bulldozer, although it will initially appear in a lower performance segment. Remember that final generation of AM3+ CPU I mentioned earlier? I fully expect that to be a GPU-less Piledriver CPU due out sometime in 2012.

Steamroller will follow in 2013, again improving performance (at the core level) by around 10—15%. Excavator will do the same in 2014. AMD believes that these performance increases will be sufficient to keep up with Intel over time, however I'll let you be the judge of that once we get to the Bulldozer performance numbers.

The other thing to note about AMD's roadmap is it effectively puts the x86 business on an annual cadence, in line with what we've seen from the AMD GPU folks. Although AMD isn't talking about what process nodes to expect all of these cores at, it looks like AMD will finally have an answer to Intel's tick-tock release schedule moving forward.

The Architecture
Comments Locked

430 Comments

View All Comments

  • Kristian Vättö - Wednesday, October 12, 2011 - link

    I'm happy that I went with i5-2500K. Performance, especially in gaming, seems to be pretty horrible.
  • ckryan - Wednesday, October 12, 2011 - link

    I was just going to say the same thing. I was all about AMD last year, but early this year I picked up an i5 2500K and was blown away by efficiency and performance even in a hobbled H67. Once I bought a proper P67, it was on. It's not that Bulldozer is terrible (because it isn't); Sandy Bridge is just a "phenom". If SB had just been a little faster than Lynnfield, it would still be fast. But it's a big leap to SB, and it's certainly the best value. AMD has Bulldozer, an inconsistent performer that is better in some areas and worse in others, but has a hard time competing with it's own forebearer. It's still an unusual product that some people will really benefit from and some wont. The demise of the Phenom II can't come soon enough for AMD as some people will look at the benchmarks and conclude that a super cheap X4 955BE is a much better value than BD. I hope it isn't seen that way, but it's not a difficult conclusion to reach. Perhaps BD is more forward looking, and the other octocore will be cheaper than the 8150 so it's a better value. I'd really like to see the performance of the 4- and 6- before making judgement.

    It's still technically a win, but it's a Pyrrhic victory.
  • ogreslayer - Wednesday, October 12, 2011 - link

    I tell friends that exact thing all the time. Phenoms are great CPUs but switch to Nehelam or Sandy Bridge and the speed is noticibly different. At equal clocks Core 2 Quads are as fast or faster.

    Bulldozer ends up with a lot of issues fanboys refused to see even though Anandtech and other sites did bring it up in previews. I guess it was just hope and a understandable disbelief that AMD would be behind for a decade till the next architecture. We can start at clockspeed but only being dual-channel is not helping memory bandwidth. I don't think there is enough L3 and they most definitely should have a shortpipeline to crush through processes. They need an 1.4 to 1.6 in CBmarks or what is thhe point of the modules.

    The module philosophy is probably close to the future of x86 but I imagine seeing Intel keeping HT enabled on the high-end SKUs. Also I think both of them want to switch FP calculation over to GPUs.
  • slickr - Wednesday, October 12, 2011 - link

    Yeah I agree. To me Bulldozer comes like 1 year late.

    Its just not competitive enough and the fact that you have to make a sacrifice to single threaded performance for multithreaded when even the multithreaded isn't that good and looses to 2600K is just sad.

    They needed to win big with Bulldozer and they failed hard!
  • retrospooty - Wednesday, October 12, 2011 - link

    Ya, it seems to be a pattern lately with the last few AMD architectures.

    1. Hype up the CPU as the next big thing
    2. Release is delayed
    3. Once released, benchmarks are severely underwhelming
  • JasperJanssen - Wednesday, October 12, 2011 - link

    4. Immediately start hyping up the next release as the salvation of all.
  • GatorLord - Thursday, October 20, 2011 - link

    It looks to me like BD is the CPU beta bug sponge for Trinity and beyond. Everybody these days releases a beta before the money launch.

    Hence the B3 stepping...and probably a few more now that a capable fab is onboard with TSMC. BD is not a CPU like we're used to...its an APU/HPC engine designed to drive code and a Cayman class GPU at 28nm and lots of GHz...I get it now.

    Also, the whole massive cache and 2B transistors, 800M dedicated to I/O, thing (SB uses 995M total) finally makes sense when you realize that this chip was designed to pump many smaller GPGPU caches full of raw data to process and combine all the outputs quickly.

    Apparently GPUs compute very fast, but have slow fetch latencies and the best way to overcome that is by having their caches continously and rapidly filled...like from the CPU with the big cache and I/O machine on the same chip...how smart..and convenient...and fast.

    Can you say 'OpenCL'?
  • jleach1 - Friday, October 21, 2011 - link

    I don't see how this can be considered an APU, This product isn't being marketed as a HPC proc., and i don't see the benefit of this architecture design in GPGPU environments at all.

    It's sad...i've always given major kudos to AMD. Back in the days of the Athlon's prime, it was awesome to see david stomping goliath.

    But AMD has dropped the ball continuously since then. Thuban was nice, but it might as well be considered a fluke, seeing as AMD took a worthy architecture (Thuban) and ditched it for what's widely considered as a joke.

    And the phrase "AMD dropped the ball" is an understatement.

    They've ultimately failed. They havent competed with Intel in years. They...have...failed. After thuban came out i was starting to think that the fact that they competed for years on price and clock speed alone was a fluke, and just a blip on the radar. Now i see it the opposite way...it seems that AMD merely puts out good processors every once in a while...and only on accident.
  • medi01 - Wednesday, October 12, 2011 - link

    Well, if anand didn't badmouth AMD's GPU's on top of CPU's, we would see less "fanboys" complainging about anand's bias.
  • vol7ron - Wednesday, October 12, 2011 - link

    By badmouth do you mean objectively tell the truth? Do you blame PCMark or FutureMark for any of that? Perhaps if all the tests just said that AMD was clearly better, it wouldn't be badmouthing anymore.

Log in

Don't have an account? Sign up now