What’s Next for GlobalFoundries?

In lieu of pursuing a 7nm platform, GlobalFoundries will be embarking on a multifaceted strategy for revenue and profitability. This strategy includes scaling out the 14LPP/12LP platform for various applications that are set to emerge in the 5G era, continuing to evolve the FD-SOI platform, spinning off its ASIC development business, further supporting its existing clients with their 14LPP/12LP products, and some other things.

Scaling Out the 14LPP/12LP

Originally designed for mobile SoCs and some other chips in mind, GlobalFoundries' 14LPP manufacturing technology is used to make CPUs and GPUs at GlobalFoundries. Furthermore, the company has designed two variations of this fabrication process. Whereas the base process used up to 13 metal layers and 9T libraries, 14HP was developed specifically for IBM and tailored for performance at the cost of transistor density, using up to 17 metal layers and 12T libraries. Meanwhile, 12LP — aimed at a broad spectrum of applications, including APUs/CPUs, automotive and other — uses 13 layers and 7.5T libraries, giving a 10% additional performance or power improvement as well as a 15% area reduction vs. the 14LPP.

Going forward, GlobalFoundries plans to offer a broader spectrum of technologies based on its 14 nm node. The move is not truly surprising. Samsung Foundry also offers three versions of its 14 nm processes: 14LPP for high-performance SoCs, 14LPC for compact SoCs, and 14LPU for ultra-low-power chips. So far, GlobalFoundries has confirmed three key markets of its future FinFET process technologies: RF, embedded memory, and low-power. In addition, the company plans to offer its 14LPP/12LP platform with enhanced performance and/or higher transistor density (for cost reduction). To do so, the company will be leveraging the knowledge and techniques they developed as part of the 7LP platform. But naturally Gary Patton does not want to disclose the nature of these innovations or any actual performance targets.

If the company succeeds in the integration of RF capabilities into FinFET-based chips, that will be a world’s first. In theory, such chips would have a notable edge over existing RF solutions, which are made using rather rough process technologies. In addition to regular RF capabilities, GlobalFoundries plans to offer features for mmWave radios. Embedded MRAM will also be another important feature of SoCs made using a FinFET fabrication tech as, again, nobody uses such transistors for embedded memory right now.

At the moment, GlobalFoundries is still forming its new development teams, so we do not know exactly how many projects the company will eventually work on. Meanwhile, keep in mind that any project started today will materialize at best in 2020, with actual products going into HVM in 2021. This will be in time for various devices for high-growth markets, but AMD will naturally wind down its 14LPP/12LP orders to GlobalFoundries over the 2019 – 2020 timeframe, reducing the company’s revenue and profits. Note that at present both the RF and embedded memory technologies for FinFET are in a pathfinding stage, so it is very hard to say when exactly GlobalFoundries comes up with appropriate process technologies.

Investing in FD-SOI

In addition to developing specialized versions of its FinFET-based process technologies, GlobalFoundries will continue to invest in its FDX-branded FD SOI-based platforms, such as 22FDX and 12FDX. Gary Patton did not pre-announce any new versions of the company’s FD-SOI fabrication processes, but clearly indicated that the FDX will remain very important for GlobalFoundries, which is not surprising as GF and Samsung Foundry are the only foundries to offer this tech.

Spinning Off ASICs

Designing chips for a new process technology is always a challenge both from engineering and financial points of view, especially for smaller companies. In a bid to help its customers to develop various SoCs, GlobalFoundries established its ASIC Solutions (ASICs) division, which helps the company’s customers in designing chips. Besides usual things like process development kits (PDKs), various design libraries, silicon-proven memory solutions, interfaces, and other necessary things, ASICs offers support from chip design, methodology, test and packaging teams.

Obviously, GlobalFoundries’ customers going forward will benefit from ASICs IP and teams. However, to ensure that the division continues to attract high-volume work, GlobalFoundries will spin it off and enable it to work with process technologies from other contract makers of semiconductors.

The Fate of EUV Tools

One of the questions we asked GlobalFoundries during a briefing concerning its strategic shift was about the fate of two ASML Twinscan NXE machines installed in Fab 8. At this point the company has not made any decisions, but it intends to consult with ASML and find out what would be the best use of these tools. In theory, GlobalFoundries could keep them to speed up prototyping or even production, but since they require a special treatment, keeping them without using them extensively for HVM may not be a good idea.

Some Thoughts

Until today, GlobalFoundries, Samsung Foundry, and TSMC were the only three remaining contract makers of semiconductors to offer leading-edge process technologies for logic. With GF dropping out from the race, Samsung and TSMC will be the only contract foundries remaining. (While Intel technically has foundry operations, they've had minimal impact on the industry).

For GlobalFoundries, the move has pros and cons. On the one hand the lion’s share of semiconductor industry revenue will be earned from chips made using ’12 nm’ and larger nodes even in 2022, according to Gartner’s findings and cited by GlobalFoundries. Evidently, by not competing for the leading edge, GF will reduce its R&D costs and necessity to build ultra-expensive EUV fabs for 2020 and onwards. Moreover, with specialized technologies sometimes tailored for particular clients, the company will better avoid directly competing against Samsung and TSMC in certain cases. Nonetheless, said foundries are going to compete for emerging devices as well, so they are going to design their own specialized fabrication processes (Samsung in particular will need them for itself). Therefore, GlobalFoundries is not exactly jumping into a blue ocean here.

What remains to be seen is how well GlobalFoundries manages to execute on the timely development of multiple new manufacturing processes and land new customers to fill Fab 8. The company will keep working with AMD for many years to come in fabbing current-generation CPUs and GPUs, and then switching exclusively to wafers with embedded APUs/GPUs as well as with first-gen EPYC dies, as these products have very long lifecycles. However, the number of wafers GlobalFoundries processes for AMD will be dropping rapidly starting from 2019. Whether GF will be able to substitute AMD’s orders with orders from enough smaller players to Fab 8 full utilized is something only time will tell.

While it is sad to see GlobalFoundries leaving the ‘bleeding edge’ field, it is evident that the company’s odds against Samsung and TSMC were not high enough for the owner and the management to take the risks. Therefore, it looks like ‘scaling out’ by offering a set of specialized (and maybe even unique) process technologies instead of ‘scaling up’ and offer another ‘bleeding edge’ node might just be a better bet for GlobalFoundries.

Related Reading:

7LP Canned Due to Strategy Shift GlobalFoundries Press Release
Comments Locked

127 Comments

View All Comments

  • DanNeely - Monday, August 27, 2018 - link

    Will IBMs huge mainframe chips be one of the specialized products they'll still be supporting, or is big blue being unceremoniously dumped in a ditch and left to scramble to migrate their chip designs to either a Samsung or TSMC process?
  • Ian Cutress - Monday, August 27, 2018 - link

    Technically the IBM contract runs out end of the year. Beyond that, not sure.
  • Alexvrb - Tuesday, August 28, 2018 - link

    I'm sure they'll continue working with IBM for the foreseeable future. But that still revolves around 14HP, I'd bet.
  • FunBunny2 - Tuesday, August 28, 2018 - link

    according to the wiki (no, I didn't run the links :) ) the Z14 is a 6.1 billion chip. not, by any means, the highest transistor count among cpu. not the biggest, but big, by area either.
    here: https://en.wikipedia.org/wiki/Transistor_count#Mic...
  • name99 - Tuesday, August 28, 2018 - link

    Look at what Intel has done with 14nm, +, ++, etc.
    There's a lot of optimization left in leading edge hardware for the obvious reason that you're always time constrained -- you do things the safe way, you drop ideas that don't fit the schedule, and so on.
    IBM can certainly cruise for a few years (with both POWER and z) on just optimizing what they have at the µArchitecture and system level, sticking with GloFo's 14nm (which will doubtless pick up small improvements at the process level each year); looking at how they can exploit advanced packing (ie things like interposers or EMIB) and advanced memories (MRAM, Nantero, Optane, ...).

    That's not an ideal on-going situation, but it's absolutely feasible for a few years as the market sorts itself out and GloFo figures out its future.
    Maybe GloFo partners with UMC?
    Maybe they license Samsung's 7nm as soon as ASML can make enough machines?
    Maybe they conclude that the economics (for their set of clients) works best not by standing still at 14nm, but if they are always about three years behind the absolute leading edge?
  • Dragonrider - Saturday, September 1, 2018 - link

    Then again, IBM just might get Intel to build their stuff. Stranger things have happened.
  • klagermkii - Monday, August 27, 2018 - link

    If this means that AMD will no longer pay penalties to fab their chips at competent leading-edge foundries, then this is fantastic news, and Global Foundries can go climb into the rubbish bin of silicon history.
  • CajunArson - Monday, August 27, 2018 - link

    This clearly means that 5nm is so far ahead of schedule that GloFo will have it out in January!

    Because advanced lithography is SUPER EASY for literally everybody but those idiots at Intel.

    Right! Right???
  • rahvin - Monday, August 27, 2018 - link

    Didn't read the article did you? GloFo is abandoning the market because they don't believe they can compete economically against Samsung and TSMC. Basically the EUV fabs cost $20 billion and to afford that you need to produce more modules than GloFo physically can. As a result they are going to start fabbing specialized chips (probably ASICs and others) on their existing process and let TSMC and Samsung have the market.
  • phoenix_rizzen - Monday, August 27, 2018 - link

    <Whoosh>

    The sound of sarcasm flying over your head. :)

Log in

Don't have an account? Sign up now