GlobalFoundries 2014-2018: Key Goals

Sanjay Jha was hired as CEO of GlobalFoundries in early 2014. While management changes are never explicitly explained, and in case of the privately-held GlobalFoundries are not discussed in depth, his key goals as the  head of GF were more or less obvious.

  • Firstly, Mr. Jha had to ensure timely development and deployment of leading-edge process technologies.
  • Secondly, the CEO had to increase production yields across all nodes and fabs at GlobalFoundries to ensure that the company is competitive and has strategic relationships with its partners (who would not need to second-source their ICs from TSMC, UMC or SMIC).
  • Thirdly, he had to expand GF’s products offerings to ensure the company’s abilities to address different types of customers and land new clients.
  • Fourthly, he needed to expand production capacities of GlobalFoundries to sustain relevancy of the foundry to existing customers and open up doors for new parties particularly from the mobile and IoT spaces.
  • Last but not least, he had to create a culture of sustainable development of new technologies and profitable growth for the company. Creating a company that can consistently deliver on its promises is hard, it will take years to realize whether Mr. Jha has succeeded, but the GF BOD appears to think so.

Hitting strategic goals involved achieving tactical objectives, and while Mr. Jha has managed to do a lot, there was one thing that he has failed: to make GlobalFoundries profitable. Given the expansionist strategy, this was barely possible. But while expanding product lineup and boosting capacities, GlobalFoundries managed to increase its sales by over 40% from 2014 to 2016 (more on that later).

GlobalFoundries Process Technologies

Our avid readers remember GlobalFoundries’ origin, being from AMD’s manufacturing arm. Historically, AMD would only need one competitive manufacturing technology for its high-performance CPUs and could slightly alter it for mobile parts. The production organization within AMD was tailored to develop one advanced fabrication process at a time while also maintaining one fab in Dresden, Germany. When at some point Hector Ruiz (CEO of AMD from 2002 to 2008) decided to build another fab in the upstate New York, USA, he thought of offering other companies access to its production facility and process technology, but not becoming a fully-fledged foundry. AMD never planned (at least publicly) to offer a dedicated production process for non-CPU applications, such as GPUs (which need high transistor densities rather than maximum clocks), even after it acquired ATI Technologies in 2006.

Due to financial difficulties, AMD had to spin-off their manufacturing operations into a new company in March 2009. Then, GlobalFoundries’ parent company ATIC acquired Chartered Semiconductor in September to add manufacturing capacities and technologies for mainstream chips and make the new company more competitive overall. While GlobalFoundries became the No. 2 contract maker of semiconductors overnight, its leading-edge team remained the same as if the operations were a part of AMD: one fab, one process tech. GlobalFoundries struggled with 32 nm/28 nm HKMG technology in 2011 – 2012 and their roadmap did not look strong later on. Enter, Sanjay Jha.

When Sanjay Jha joined GlobalFoundries, the company had a major problem. Its 20 nm-based 14XM (14 nm eXtreme Mobile) fabrication process has failed to gain traction among developers of mobile SoC as was barely suitable for the company’s key customer, AMD. In a bid to get things right quickly, GlobalFoundries had to ink a deal with Samsung Foundry and license its 14LPE and 14LPP manufacturing technologies. The two contract makers of semiconductors said in their mutual press release that they would be able to jointly land orders from major customers and therefore compete for large clients against TSMC. This part of the plan has not really worked out (at least publicly GlobalFoundries has never admitted it had produced chips for customers it landed together with Samsung), but GlobalFoundries obtained a competitive fabrication process that later enabled it to make CPUs and GPUs. Moreover, further development of the 14LPP (called the 14HP) is used to make IBM’s z14 CPUs for mainframes.

Since GlobalFoundries has struggled to develop competitive leading-edge manufacturing technologies, the next challenge for Sanjay Jha was to make sure that his company would be able to develop competitive processes going forward, for which it needed some additional talent. In a surprising move, GlobalFoundries acquired IBM’s microelectronics business unit along with fabs, personnel and customers. Under the terms of the deal, IBM paid GF around $1.5 billion in cash to take the business, and gave GF access to its leading edge manufacturing patents, but in exchange GF was obliged to serve IBM’s clients and to produce IBM CPUs made using custom fabrication processes for the following 10 years (until 2024). Development of custom manufacturing technologies will eventually cost billions of dollars, but IBM can pay a lot for its CPUs and hopefully GlobalFoundries at least will not lose money on these projects. In any case, taking over IBM’s microelectronics business unit was the only way to obtain talent along with various RF and SiGe process technologies, so Mr. Jha had to take the risk.

Procuring unique RF, RF-SOI, and SiGe technologies from IBM brought a set of crucial competencies to GlobalFoundries and greatly expanded its lineup of offerings to clients. In fact, radio technologies defined GlobalFoundries’ focus and corporate motto going forward: Enabling Connected Intelligence. Selling mainstream application processors and RF solutions for such chips seems like a good business in the upcoming 5G era. Keeping in mind that GlobalFoundries can also produce CPUs for datacenters and various silicon photonics interconnection solutions, it is clear that the company can address virtually all types of today’s and tomorrow’s applications (from a smartwatch all the way to a datacenter with PCs and smartphones in between).

Obviously, GlobalFoundries stumbled very badly at 14 nm (so it had to license it from a rival), but instead of trying to catch-up with other contract makers of chips ASAP by bypassing a '10nm node'. The company decided to leap forward to a 7 nm-branded process tech developed by the joint team of IBM and GlobalFoundries engineers (essentially burying 10 nm technologies started by both companies). General specifications of GF’s first variant of the 7LP process technology uses deep ultraviolet (DUV) argon fluoride (ArF) excimer lasers with 193 nm wavelength. These processes are relatively well known, but we also know that the company plans to offer three generations of the technology, two of which will use EUV lithography. Something less known is that there will be five different versions of GF’s 7 nm node aimed at different applications.

When it comes to EUV progress at GlobalFoundries, last year the company announced intentions to install two ASML TWINSCAN NXE step and scan machines into the Fab 8 in 2H 2017. As of February ‘18, only one of such tools have been installed, with another waiting to be built, but keeping in mind that the company only plans to start using its EUV equipment commercially in 2019, a slight slip in the schedule is not going to cause any problems.

Having obtained talent for further development of leading-edge process technologies and IBM’s RF/SiGe business, GlobalFoundries' management team remembered about customers who cannot afford development of chips featuring FinFETs or more advanced transistor structure (going FinFET can triple development costs, and not all companies can invest $80 - $90 million in a mainstream SoC).

A right fabrication process for such clients would be an enhanced technology with planar transistors, which is why GlobalFoundries adopted FD-SOI for its 22FDX manufacturing process in mid-2015. The 22FDX uses back-end-of-line interconnect flow of STMicroelectronics’ 28nm FD-SOI, the front-end of line of STM’s 14nm FD-SOI process technology, and planar transistors. Based on GF’s data, the 22FDX looks very competitive against other sub-28 nm low-power fabrication processes and it has been qualified for production for about a year now. The interest towards the 22FDX is strong: 15 tape-outs are expected by end of 2018 (and for what it is what it’s worth, it is more than TSMC has seen with its CLN10FF, even though the two technologies are incomparable).

In late 2016 the company extended its FD-SOI roadmap to the 12FDX manufacturing tech, targeting applications that need higher complexity and/or performance. The 12FDX promises to offer a 26% performance improvement or a 47% lower power when compared to 22FDX, which brings it close to 10-nm-class process technologies from competing companies. Considering the fact that FD-SOI-based process technologies promise to enable lower IC development costs (because of planar transistors), the 12FDX promises to be a success, assuming that GlobalFoundries can produce it with decent yields and at high volumes.

Keep in mind that advanced FD-SOI-based manufacturing processes are only offered by GlobalFoundries and Samsung Foundry, so from a certain point of view GF’s FDX effort resembles a blue ocean strategy.

Before we move on to manufacturing capacities, let us put GlobalFoundries’ ongoing strategies related to process technologies into perspective. The contract maker of chips has two development paths for ICs: leading-edge 7nm/5nm nodes featuring FinFETs/GAAFETs, and FD-SOI-based nodes featuring traditional planar transistors. Strategically, it means that even if GF struggles with the leading-edge, it will still have tens (if not hundreds) of logic customers with advanced FD-SOI ICs. In addition, GlobalFoundries has a variety of RF technologies that will gain importance going forward.

When Sanjay Jha joined GlobalFoundries in early 2014, the company only had one leading-edge process technology on its hands, 14XM, and only a few customers were interested in it. Now, GlobalFoundries will have at least five leading-edge promising fabrication processes for the coming years: 12LP (an enhanced 14LPP), two/three generations of 7 nm, and two generations of FD-SOI-based offerings (22FDX, 12FDX). 

A New GlobalFoundries CEO GlobalFoundries Fab Capacity and Revenue
Comments Locked

14 Comments

View All Comments

  • Ian Cutress - Thursday, March 15, 2018 - link

    Or, it's a typo.
  • drexnx - Friday, March 16, 2018 - link

    Zep?
  • MadManMark - Friday, March 16, 2018 - link

    It was probably only a typo. The writer clearly has a strong command of the language, so your presumption he doesn't seem almost insulting.
  • FreckledTrout - Friday, March 16, 2018 - link

    Seems like a rational move to focus more on the upcoming process innovation like 7nm. I think it's safe to assume Sanjay Jha got a nice fat golden parachute seeing as how nicely they talk about the parting of ways.

Log in

Don't have an account? Sign up now