In their own side event this week, AMD invited select members of the press and analysts to come and discuss the next layer of Zen details. In this piece, we’re discussing the microarchitecture announcements that were made, as well as a look to see how this compares to previous generations of AMD core designs.

AMD Zen

Prediction, Decode, Queues and Execution

First up, let’s dive right into the block diagram as shown:

If we focus purely on the left to start, we can see most of the high-level microarchitecture details including basic caches, the new inclusion of an op-cache, some details about decoders and dispatch, scheduler arrangements, execution ports and load/store arrangements.  A number of slides later in the presentation talk about cache bandwidth.

Firstly, one of the bigger deviations from previous AMD microarchitecture designs is the presence of a micro-op cache (it might be worth noting that these slides sometimes say op when it means micro-op, creating a little confusion). AMD’s Bulldozer design did not have an operation cache, requiring it to fetch details from other caches to implement frequently used micro-ops. Intel has been implementing a similar arrangement for several generations to great effect (some put it as a major stepping stone for Conroe), so to see one here is quite promising for AMD. We weren’t told the scale or extent of this buffer, and AMD will perhaps give that information in due course.

Aside from the as-expected ‘branch predictor enhancements’, which are as vague as they sound, AMD has not disclosed the decoder arrangements in Zen at this time, but has listed that they can decode four instructions per cycle to feed into the operations queue. This queue, with the help of the op-cache, can deliver 6 ops/cycle to the schedulers. The reasons behind the queue being able to dispatch more per cycle is if the decoder can supply an instruction which then falls into two micro-ops (which makes the instruction vs micro-op definitions even muddier). Nevertheless, this micro-op queue helps feed the separate integer and floating point segments of the CPU. Unlike Intel who uses a combined scheduler for INT/FP, AMD’s diagram suggests that they will remain separate with their own schedulers at this time.

The INT side of the core will funnel the ALU operations as well as the AGU/load and store ops. The load/store units can perform 2 16-Byte loads and one 16-Byte store per cycle, making use of the 32 KB 8-way set associative write-back L1 Data cache. AMD has explicitly made this a write back cache rather than the write through cache we saw in Bulldozer that was a source of a lot of idle time in particular code paths. AMD is also stating that the load/stores will have lower latency within the caches, but has not explained to what extent they have improved.

The FP side of the core will afford two multiply ports and two ADD ports, which should allow for two joined FMAC operations or one 256-bit AVX per cycle. The combination of the INT and FP segments means that AMD is going for a wide core and looking to exploit a significant amount of instruction level parallelism. How much it will be able to depends on the caches and the reorder buffers – no real data on the buffers has been given at this time, except that the cores will have a +75% bigger instruction scheduler window for ordering operations and a +50% wider issue width for potential throughput. The wider cores, all other things being sufficient, will also allow AMD’s implementation of simultaneous multithreading to potentially take advantage of multiple threads with a linear and naturally low IPC.

Deciphering the New Cache Hierarchy: L1, 512 KB L2, 8 or 16 MB L3
Comments Locked

216 Comments

View All Comments

  • Jleppard - Thursday, August 18, 2016 - link

    OR the first to 5 GHz
  • Kevin G - Saturday, August 20, 2016 - link

    IBM hit 5 Ghz back in 2008 with the POWER6.
  • JlHADJOE - Sunday, August 21, 2016 - link

    Given how quickly they were able to implement x86_64, my bet is Intel already thought about extending x86 to 64bits, they just didn't want to do it because they were pushing Itanium hard at the time.

    But then AMD comes out with AMD64, and (rather predictably) the market loves it, which puts a real damper on Intel's Itanium push and eventually they are forced to capitulate and follow suit.
  • hansmuff - Thursday, August 18, 2016 - link

    Everyone copies success, what's new?
  • bill.rookard - Thursday, August 18, 2016 - link

    Well, it's not a complete copy. There are some difference which should make it interesting to see how the chips line up against each other. x2 L2 cache on Zen vs x2 L3 cache on Intel, the larger core/thread counts (let's hope they offer the 8c/16t to consumers), and of course, the big one being how this all affects the integrated graphics in APU form.

    Will the change to 16nm FinFET allow them to put in more GPU? What kind of clocks and/or power envelope will this run at? A lot of this makes a huge difference in the types of systems which can be made - a powerful hex-core CPU with a beefier IGP in a 60-80w TDP would be nice to see.
  • smilingcrow - Thursday, August 18, 2016 - link

    There is definitely gong to be a consumer 8c/16t chip.
  • Ian Cutress - Thursday, August 18, 2016 - link

    The 8c will be coming to consumers in the AM4 chipset in Q1. :)
  • Flunk - Thursday, August 18, 2016 - link

    I wonder what the resale value of my 6700K and Z170 board will be in Q1 ;).
  • Morawka - Thursday, August 18, 2016 - link

    you'll get more cores but not more IPC. all signs point to skylake being at least 2 years ahead of zen, although since intel has barely increased performance in 2 years, it shouldnt make to big of a difference, in gaming at least.
  • tamalero - Friday, August 19, 2016 - link

    Disagree, the performance boost of each generation is always less than 5% in the last designs by intel.
    Only a few tests show really higher performance per clock.

Log in

Don't have an account? Sign up now